Category: NEWS

11 May

Visit the Samco Booth (#223) at CS MANTECH May 15-18, 2023 in Orlando, Florida

Samco 2023 NEWS, NEWS

The CS MANTECH 2023 International Conference on Compound Semiconductor Manufacturing Technology will be located at the Hyatt Regency Grand Cypress, next to Disney Springs in Orlando, Florida. Monday, May 15th to Thursday, May 18th, 2023.

Please feel free to visit our booth #223 to know about latest plasma etching, deposition and surface treatment technologies for device fabrication.

Related Topics in: GaN, GaAs, InP, SiC, ultra-wide bandgap semiconductors, Sb compounds, SiGe, and other related materials.

10 Oct

Samco Unveils Plasma Enhanced ALD System for SiC and GaN Power Devices

Samco NEWS

 

Samco, a leading manufacturer of etching, deposition and surface treatment processing equipment for the semiconductor and related industries and academic facilities, launches the new Plasma Enhanced Atomic Layer Deposition (PEALD) system, “AD-800LP”. The main target of the system is gate oxide film deposition for next-generation power devices of silicon carbide (SiC) and gallium nitride (GaN) materials, which will play important role toward carbon neutral.

The plasma enhanced ALD system “AD-800LP” is a multi-purpose R&D system equipped with a unique ICP plasma source called “Tornado ICP”, in addition to the conventional thermal ALD capability. AD-800LP enables various film deposition such as oxide or nitride films by Tornado ICP, which is Samco’s proprietary plasma technology and is different from remote plasma. Tornado ICP enables stable plasma discharge even in the high-pressure range during ALD deposition.

“We are also considering a cluster ALD system that can connect multiple reaction chambers for production” says Tsukasa Kawabe, President and COO of Samco. “The launch of the AD-800LP will greatly enhance our presence in the world ALD equipment market.” Tsukasa adds.

As a global mid-sized company, Samco has successfully delivered numerous dry etch systems and plasma enhanced CVD systems for the electronic device field, mainly for compound semiconductors such as SiC, GaN, and GaAs, not only in Japan but also in the United States, Europe, Taiwan, Korea, China, Southeast Asia, India, and other countries.

The new Research Center for Nano Thin Films & Materials, which opened in February 2022, conducts research and development of unique thin film deposition, including ALD system. Samco will continue to utilize our “thin-film technology” to develop unique products and expand sales globally.

pic_ad230lp.jpg

AD-800LP system photo

About Samco Inc.

Samco Inc. (TSE: 6387) stands for Semiconductor And Materials Company, and is a leading manufacturer of processing equipment for the semiconductor and related industries founded by Mr. Osamu Tsuji in Kyoto, Japan in 1979. Over the past forty-three years, more than 4,300 Samco systems have been installed and used in 35 different countries. Its equipment and thin film technology are widely adopted in the fabrication of semiconductor devices, including BAW filters, SiC power devices, GaN RF devices, GaAs VCSELs, InP lasers, microLEDs, MEMS, TSVs, advanced packaging, and so on. Learn more at https://www.samcointl.com/opto/.

Company Contacts:

TSUCHIHASHI, Atsushi
Public Relations
Phone: 81-75-621-7841
E-mail: tsuchihashi@samco.co.jp

 

12 Jul

Come Visit Samco Booth 1533 at SEMICON West 2022

Samco NEWS

Come visit Samco at Semicon West Booth 1533.
We will introduce New plasma etch cluster tools and ALD equipment.

If you are interested in discussion on our process capabilities, please visit our booth.

  1. SiC MOSFETs
  2. GaN Power / RF Devices
  3. GaAs VCSELs
  4. MicroLEDs
  5. InP Lasers
  6. SiC/GaAs Via Hole Etch
  7. MEMS, TSV
  8. BAW Filters
  9. SAW Filters
  10. Optical Waveguide (LN/LT)
  11. Quantum Sensors
  12. SQUIDs
  13. Failure Analysis

 

 

23 Jan

Samco Unveils New Plasma Etching Cluster Tool for Compound Semiconductor Device Fabrication

Samco NEWS

Samco, a leading manufacturer of etching, deposition and surface treatment processing equipment for the semiconductor and related industries and academic facilities, is proud to introduce the new plasma etching cluster tool “Cluster H ™“. “With our cutting-edge plasma etching technology, Cluster H™ provides the full-scale production capabilities for compound semiconductor devices such as high-frequency filters, SiC/GaN power devices, GaAs VCSELs, micro-OLED/LED, CMOS image sensors, and advanced packaging,” explains Tsukasa Kawabe, President and COO of Samco. “We created Cluster H ™ to meet the strong demand from our valued customers for high throughput equipment. Cluster H™ with etching processes available only at Samco enables the combination of optimal materials and process technologies in advanced electronic device fabrication.” Continues Tsukasa.

■System photo
Samco Unveils New Plasma Etching Cluster Tool for Compound Semiconductor Device Fabrication.jpg
Cluster tool system “Cluster H ™” for fabrication of compound semiconductor devices such as high-frequency filters, SiC/GaN power devices, GaAs VCSELs, micro-OLED/LED, CMOS image sensors, and advanced packaging.

■Specifications

Model H6 / H8
Sample Size ø6″ (ø150 mm) / ø8″ (ø200 mm)
Processes Etching and Ashing
Number of Chambers Up to three reaction chambers / process modules
Number of Cassette Stages Two (cassette modules)
Number of Wafer Aligners One (positioned between cassette module and transfer chamber)


■Features

Cluster H ™ is a cluster tool for etching and ashing that supports direct transfer of ø6″ and ø8″ diameter wafers. Centered on a hexagonal vacuum transfer platform, it is equipped with two vacuum cassette chambers and one vacuum aligner as part of the standard equipment configuration, and up to three process modules that can be attached. The ICP etching process module uses the reaction chamber of the high-end ICP etching model RIE-800iP and is backed by our extensive process library and best-known methods. In addition, the frog-leg dual-blade robot enables high wafer throughput, compact transfer chamber, and system footprint.
In addition, the revamped software incorporates useful functions with improved reliability. The standard system is equipped with a user-friendly GUI and equipment management functions such as equipment performance tracking, wafer transfer history for each module and packages, and simulation functions for higher-level communication, and supports the manufacturing execution system (MES) used at customers’ factories.

■Equipment configuration example (three-etching process modules)
Cluster H graphic.jpg
Samco announces the release of the production-worthy cluster tool, Cluster H ™. The system is ideally suited for high volume manufacturing leveraging our experience and expertise in manufacturing production-proven systems and our broad process know-how. With the advanced hardware and software features on the Cluster H ™, we are certain that it will contribute to the success in manufacturing of electronic devices for our valued customers. As a pioneer in thin film technology, Samco looks forward to continuing to provide cutting-edge solutions to the industry


About Samco Inc.

Samco Inc. (TSE: 6387) stands for Semiconductor And Materials Company, and is a leading manufacturer of processing equipment for the semiconductor and related industries founded by Mr. Osamu Tsuji in Kyoto, Japan in 1979. Over the past forty-three years, more than 4,300 Samco systems have been installed and used in 35 different countries. Its equipment and thin film technology are widely adopted in the fabrication of semiconductor devices, including high-frequency filters, SiC/GaN power devices, GaAs VCSELs, micro-OLED/LED, CMOS image sensors, MEMS, TSVs, advanced packaging, and so on.

Company Contacts:

TSUCHIHASHI, Atsushi

Public Relations

Phone: 81-75-621-7841

E-mail: tsuchihashi@samco.co.jp

12 Jul

The Pennsylvania State University plans to install Samco Aqua Plasma™ and UV ozone cleaning systems

Samco NEWS

Samco, a leading manufacturer of etching, deposition and surface treatment processing equipment for the semiconductor and related industries and academic facilities, announces that the Nanofabrication Laboratory at the Pennsylvania State University Materials Research Institute (Penn State MRI Nanofab) has selected a complementary suite of surface treatment solutions from Samco consisting of an Aqua Plasma™ cleaning system and a UV ozone cleaning system as new additions to their specialized equipment toolsets for micro-and nanofabrication.

 

Penn State MRI Nanofab, one of the leading facilities in North America for nanotechnology research/development and prototyping, has selected Samco’s unique water-based plasma treatment system Aqua Plasma™ model AQ-2000 and tabletop UV ozone cleaning system, model UV-2 for surface modification of substrates that include 2D semiconductor materials such as graphene and polymers used in microfluidic devices. They operate as an open user facility for nanofabrication with academic, industrial, and government users.

 

Aqua Plasma™ enables efficient and safe reduction of metal oxides, improves bond strength between substrates, enhances hydrophilization, and can be used for ashing or organic removal applications. Not only is this unique surface treatment technique effective, it is also safe to the environment and operators.

 

Chad Eichfeld, Nanofab director of operations at Penn State’s NF, states “The flexibility of the AQ-2000 will have long-term impact on the materials research community at Penn State by allowing for the processing of wide range of materials with a more robust and efficient process flow. With the expanding research on devices made with 2-dimensional materials there is a need for plasma etching with both low damage as well as reduced oxidation of surfaces.  The AQ 2000 is a unique tool that allows for both oxygen and water-based plasma processing that is unique in that it eliminates surface oxidation, a main driver for the system selection.  After sending some samples of our 2D materials we found that the water plasma also had the added benefit of reducing the surface damage of the material as well.  Another key factor in our decision was the flexibility of having multiple plasma technologies: Down Stream Plasma, Plasma Etching and RIE Etching in the same system.  Bundling these capabilities into a single system will allow us to optimize our capabilities while at the same time reducing the equipment footprint in our cleanroom.”

 

Tsukasa Kawabe, President and COO of Samco, comments: “With our cutting-edge surface treatment technology, Aqua Plasma™ and UV ozone cleaning, we offer a convincing solution even for world-leading research facility. For more than thirty years, Samco has been delivering plasma processing solutions to universities and R&D facilities in the US. We are truly proud that Penn State Nanofab has now selected our equipment for their open laboratory.”

 

About Nanofabrication Laboratory at the Pennsylvania State University Materials Research Institute

Penn State’s MRI Nanofabrication Laboratory (Nanofab) is a full-service user facility providing faculty, students, and industry researchers the opportunity to perform hands-on research with some of the world’s most sophisticated instruments for micro- and nanofabrication. The Nanofab’s technical support staff has world-class capabilities in the areas of deposition, etch, lithography, material modification and characterization. The sheer number of materials processed – more than sixty five (65) materials can be deposited and over seventy (70) materials can be dry etched – in the suite of tools resident in the facility that is a testament to the flexibility of the toolset and the staff that supports it. From the wide variety of available processes, academic and industrial researchers can develop and fabricate a wide array of novel devices.  The general theme of the Nanofabrication Laboratory’s core areas of expertise is the ability to handle non-standard materials alongside the more common materials.

 

At Penn State, nearly one hundred research groups are engaged in high-impact science and engineering at the nanoscale. The Nanofab provides specialized instruments and experienced, highly trained technical staff who support researchers in areas that reflect our faculty strengths, including sputter deposition, atomic layer deposition, thin film piezoelectrics, two-dimensional materials, CMOS and MEMs. Technical capabilities that set the Nanofab apart include e-beam lithography of nanoscale features on curved surfaces and the ability to integrate non-traditional electronic materials, such as complex oxides, chalcogenides, graphene etc., into complex structures.

 

About Samco Inc.

Samco Inc. (TSE: 6387) stands for Semiconductor And Materials Company, is a leading manufacturer of processing equipment for the semiconductor and related industries founded by Mr. Osamu Tsuji in Kyoto, Japan in 1979. Over the past forty years, more than 4,000 Samco systems have been installed and used in 30 different countries. Its equipment and thin film technology are widely adopted in the fabrication of semiconductor devices, including MicroLEDs, Laser Diodes, VCSELs, SiC Power Devices, GaN RF Devices, BAW/SAW Filters, MEMS, TSVs, and so on. Learn more at www.samcointl.com

 

Company Contacts:

TSUCHIHASHI, Atsushi

Public Relations

Phone: 81-75-621-7841

E-mail: tsuchihashi@samco.co.jp

11 Jul

Technical Report : GaN Trench Etching and Sidewall Angle Control for Vertical Power Device

Samco NEWS

GaN Trench Etch.jpg

Since gallium nitride (GaN) -based semiconductors, which are widely used in short-wavelength optical devices, have excellent physical properties, they are promising as materials for electronic devices such as power devices and RF devices. Some have already been put into practical use and are already on the market. Development aimed at further increasing performance is gaining momentum year by year, and it is expected that it will be widely adopted in the field of high frequency electronic devices.

For example, it is expected that electronic devices (trench MOS, HEMT, etc.) with high withstand voltage, low ON resistance, and high channel mobility will be realized within the next few years. Figure 1 shows an example of a GaN MOSFET structure adopting a trench structure and a gate recess type GaN HEMT structure.

Samco provides ICP-RIE equipmentCVD equipment and process technology for manufacturing GaN-based light emitting devices. We also provide processes that realize trench formation, mesa formation, etc., that are 4H-SiC high-power device manufacturing processes.

In this technical report, we will introduce a process solution that contributes to the formation of trench structures and recess structures when creating GaN devices.

To continue, please submit the form.

Download Technical Report

11 Jul

Technical Report : Next-generation GaAs VCSEL Plasma Etch Process Technology

Samco NEWS

HCG-VCSEL.jpg

The market for Vertical Cavity Surface Emitting Lasers (VCSEL), which were invented in 1977 by Professor Iga of Tokyo Institute of Technology, has been expanding in recent years for optical communication and sensor applications. The production process of VCSELs requires plasma etching and plasma enhanced CVD equipment, and our products are used by many users worldwide from research and development to mass production. This paper introduces the latest plasma etching process examples of VCSEL on our ICP etching system RIE-400iP.

To continue, please submit the form.

Download Technical Report

11 Jul

Equipment Advances for the Bosch Process

Samco 2021 NEWS, 2021 NEWS, NEWS, NEWS Archive

In this article, we introduce the hardware employed to fully utilize the capabilities of the Bosch process for deep etching of silicon. The equipment used for the Bosch process has many significant features that differentiate it from typical ICP etching tools.

Inductively Coupled Plasma (ICP) Source
First, let’s take a look at the heart of the ICP equipment – the ICP coil that generates the plasma. The Bosch process must deliver both a high etch rate and a vertical profile that are critical for deep silicon etch processes. Increases in the fluorine radical density increases the etch rate. Also, attracting fewer ions, which are created by current in the plasma sheath, at a high voltage makes the profiles vertical. To achieve both high etch rates and vertical sidewalls, it seems that the voltage should be increased while maintaining ion density. However, RF power has the following equation:

RF power (W) = Voltage (V) × Current (I)

The amount of etching of the passivation film on the bottom of the etch feature is proportional to the bias RF power (W). If the voltage (V) is increased while decreasing the current (I), the passivation film will be over-etched and the sidewall will have a reverse taper profile (Fig. 1).

01_Profiles.png
Fig. 1 Profiles processed by the Bosch process for each condition.

ICP etching equipment for the Bosch process generally uses cylindrical shaped ICP coils. There are two reasons for using a cylindrical ICP coil.. First, it is more efficient in delivering RF power to the plasma. Second, it is easier to control the ion and radical densities of the plasma.

In the case of the cylindrical ICP coil, high-density fluorine radicals and high-density ions are produced near the coil. However, due to the long distance between the ICP coil and the substrate, the ions are neutralized during transport to the lower/substrate electrode. As a result, the ion density in the plasma is drastically reduced. On the other hand, the fluorine radicals in the SF6 plasma are still being transported to the lower electrode while maintaining high density due to their long lifetime.

The planar ICP coil that is used for ion enhanced etching such as oxide etching, must transport the high-density ions required for ion etching to the lower/substrate electrode without reducing their density. For this reason, the ICP coil and the lower/substrate electrode are placed closer together to prevent neutralization of the ions.

02_ICP_coils.png

Fig. 2 Comparison of cylindrical and planar ICP coils.

Radio Frequency (RF) Power

RF power is used to “heat” electrons and create ions and radicals in the plasma. The higher the frequency, the easier it is for the RF power to be converted into plasma as electron energy and to create high-density ions and radicals. On the other hand, with lower frequency, less power is converted into plasma and more power is used to create ions instead.

With the focus on generating high density plasma, the ICP power source should be at a higher frequency. However, at very high frequencies, it is difficult to keep a uniform plasma due to the effects of standing wave nodes and valleys. Therefore, 13.56 MHz is a good balance between the ability to generate high-density plasma and the capability to sustain a uniform plasma. Because of that, most equipment manufacturers use 13.56 MHz as the frequency of the ICP power source.

For substrate bias, lower frequency seems to be better in terms of the strength to attract the ions. However, the lower the frequency, the greater is the fluctuation of the ions incident on the substrate. Also, 13.56 MHz has an advantage over other frequencies in terms of cost and compactness. For these reasons, some equipment manufacturers select the 13.56 MHz as the frequency of the bias RF power source, while others select a lower frequency such as 400 kHz. In some cases, both frequencies may be combined or pulse superimposed.

Deposition/Etch Switching
To achieve fast Deposition/Etch process switching of the Bosch process, the gas must have a rapid switching speed. The response time of the MFC alone is not sufficient to achieve the 0.1 second Deposition/Etch process step switching commonly required for the Bosch process. Instead, fast gas switching is enabled by using a combination of an MFC and a high-switching speed valve. The gas line from the MFC to the ICP source should also be as short as possible. The increase in length of the gas line creates a damping effect that slows the response and tends to reduce the quality of the passivation films.

Next, the impedance switching control of the RF matching unit is important. During gas switching, the impedance of the plasma fluctuates greatly. If the matching unit cannot keep up with these fluctuations, the plasma is not sustainable. This means that the ideal Bosch process cannot be carried out if the RF matching unit cannot keep up with the fast gas switching. In addition, stringent control of the pressure in the reaction chamber is required to prevent pressure set point “hunting”.

Turbo-Molecular Pump (TMP)
A less important item in other ICP etchers, but an important one in Si-DRIE, is the pumping speed of the TMP. The purpose of using TMP’s with higher pump speeds in the Bosch process is to allow for higher flow rate of SF6 without increasing the process pressure. TMPs for the Bosch-process have a special rotor blade construction to prevent them from crashing due to collision with solid reaction products generated by the process.

Substrate Stage Temperature
Lower substrate stage temperatures generally result in a faster deposition rate of passivation film, which means shorter processing time. However, depending on the type and thickness of the photoresist, lower stage temperatures may cause cracking of the photoresist mask. The hardware and maintenance costs are also higher for lower stage temperatureoperations.

Download-your-free-PDF-article.jpg


What the Samco Si-DRIE Can Do?

Anisotropic Silicon Deep Reactive Ion Etching process using the Bosch Process and Non-Bosch Process enables trench, hole and pillar fabrication for various device applications. These are some examples of structures fabricated using the Bosch Process. For more details, please visit the processes below.


Samco Product Portfolio for Silicon Deep Reactive Ion Etching

Our systems have industry-leading process capabilities, and the product lineup covers both R&D and production.

11 Jul

Loading effect and microloading effect in Si deep RIE

Samco 2021 NEWS, 2021 NEWS, NEWS, NEWS Archive, Technical Report

The loading effect and the microloading effect are common phenomena observed not only in deep Si etching but also in conventional Reactive Ion Etching (RIE), and are a barrier to achieving a depth uniformity in etching processes. These two effects strongly appear in the Bosch process (silicon deep reactive ion etching), which is mainly composed of fluorine radical etching. The loading effect is caused by the difference in the consumption rate of fluorine radicals as the etchants. The microloading effect is caused by the difference in the numbers of fluorine radicals transported in narrow spaces (Ex. trenches and holes with a high aspect ratio). Samco processes suppress these two effects and can control the etching depth and achieve excellent uniformity. In addition, the method of microloading effect suppressing can be applied to flattened bottom surface process, which we call the “flat bottom process”.

■Loading Effect

The loading effect is a phenomenon in which the etch rate change depending on Si aperture (unmasked area) ratios. On the surface of Si, the fluorine radicals are consumed and the by-product, SiF4, is increased. Therefore, a larger aperture ratio of Si area has less fluorine radicals, and the etching rate becomes lower. A typical example is that bare Si wafers show slower etch rates at the center where the Si is exposed to a higher density than at the outer periphery.

Figure 1 shows the etching rate dependency on the aperture ratio of an ø8 inch Si wafer. The etch rate is 40 μm / min at an aperture ratio of 1% and goes to 15 μm / min when the aperture ratio is above 40%.

image001.png

Fig. 1: Aperture ratio dependency of Si trench etch rate (ø8 inch wafer)

The loading effect is most noticeable when the sample includes both sparse and dense mask patterns, as shown in Figure 2a. In this case, there are two ways of tackling the loading effect issue. The first is to reduce the process pressure and promote gas diffusion. Figure 2b shows the relationship between the process pressure and the difference in depth between Depth 1 (sparse mask pattern) and Depth 2 (dense mask pattern) in Figure 2a. You can see that at lower process pressure, there is a smaller difference in depth. However, by lowering the pressure, the etch rate is also decreased. The second method is to create a dummy pattern on the wafer to reduce the density difference of the mask pattern.

image003.jpg

(a) Example of sparse and dense mask pattern

image004.png

(b) Pressure vs etch depth differences due to pattern density
Fig. 2: Pressure vs etch depth differences due to pattern density

■Microloading Effect

The microloading effect is a phenomenon in which fewer fluorine radicals transport the bottom of narrow gaps, causing the etch rate to decrease depending on pattern width. This phenomenon is also known as the RIE lag effect or ARDE (aspect ratio dependent etching). Figure 3 is an SEM image of the microloading effect after Si deep reactive ion etching.

image006.png

Fig. 3: SEM image of the microloading effect

When a pattern has a wide opening (right side of Fig. 3), fluorine radicals transport the bottom of trenches and holes easily, and can be processed at a high Si etch rate. However, when the pattern opening narrows (left side of Fig. 3), the etch rate gradually decreases with the number of fluorine radicals that are able to transport the bottom of the trench or hole. On the other hand, when processing pillar structures, the pattern width has little effect on the etch rate because the flow of fluorine radicals is not obstructed. In the case of trench and hole etching, it is more difficult for fluorine radicals to transport the bottom of patterns with high aspect ratios. This tendency is especially noticeable when etching holes, because radicals are obstructed independently of the direction from which they enter. This is unlike trenches, where radicals are less restricted when moving along the trench line. The rounded bottom seen in Fig. 3 is also due to side walls blocking the flow of fluorine radicals, which increases the ratio of radicals etching the center of the pattern.

スクリーンショット (103)_LI.jpgFig. 4: SEM image of narrow and wide trench etch

Figure 4 shows SEM images of a narrow trench (10 μm) and a wide trench (200 μm) connecting. When a wide trench pattern intersects a narrow trench pattern, fluorine radicals are able to flow from the wider area, increasing the etch rate thus achieving a higher aspect ratio than would be the case for a pattern of only narrow trenches. However, due to the flow of fluorine radicals from the wider area, scallops will form diagonally instead of horizontally. Comb-shaped MEMS devices are another example of patterns with a mixture of narrow and wide trenches. For comb-shaped MEMS devices on SOI (Silicon on Insulator) wafers, even with relatively high aspect ratios, it is possible to etch through to the insulating layer.

■Method for Suppressing Microloading Effect

Figure 5 compares the normal Bosch process with Samco’s method for suppressing the microloading effect. The normal Bosch process etches all of the passivation film on the bottom of the trench. Then, in the Si etching step, the etch rate is higher at the bottom of the wider pattern, especially in the center where fluorine radicals are more easily transported. As a result, depth uniformity worsens.

image011.jpg

Fig. 5: Diagram of the Samco process to suppress the microloading effect

To suppress the microloading effect, some process conditions, such as the pressure during the deposition step, must be adjusted. In the etch step, the difference in the thickness of the deposited film and the difference in the etch rate are balanced and cancel each other out, achieving total depth uniformity. The microloading effect can then be suppressed by optimizing the duration of film deposition and etching for all pattern widths. Figure 6 shows the result of adjusting the process conditions to control the microloading effect.

image036.png

Fig. 6: SEM images of control of the microloading effect

■Flat-Bottom Process

The process for suppressing the microloading effect can also be used for deep Si etching with a wide pattern as shown in Figure 7. This process suppresses not only the microloading effect, but also scallops and round shape at the bottom of trench and hole patterns. It enables anisotropic etching of patterns with widths of several hundred micrometers. However, adjusting process conditions to optimize the depth uniformity of the flat-bottom process is very challenging. Samco has a wealth of knowledge and process libraries for the flat-bottom process and is able to provide our customers with the most suitable deep Si etching processes.

image042.png

Fig. 7: SEM image of the flattened bottom of the wide pattern

Download-your-free-PDF-article.jpg


What the Samco Si-DRIE Can Do?

Anisotropic Silicon Deep Reactive Ion Etching process using the Bosch Process and Non-Bosch Process enables trench, hole and pillar fabrication for various device applications. These are some examples of structures fabricated using the Bosch Process. For more details, please visit the processes below.


Samco Product Portfolio for Silicon Deep Reactive Ion Etching

Our systems have industry-leading process capabilities, and the product lineup covers both R&D and production.

21 Apr

Samco sets up demonstration equipments to reinforce its expansion in the European compound semiconductor market

Samco 2021 NEWS, NEWS

210420_Samco sets up demonstration equipment to reinforce its expansion in the Europe.jpg

Samco, a leading manufacturer of plasma processing equipment for the compound semiconductor industry, announced that it has installed a plasma etch system and a UV ozone cleaning system for demonstration at samco-ucp ltd., Samco's European subsidiary, in Liechtenstein.

Europe is the base for many of the world's foremost R&D institutes and universities, including IMEC (Interuniversity Microelectronics Centre) in Belgium, Fraunhofer in Germany, or VTT in Finland. In order to develop the European market and strengthen our customer relationships, the RIE-10NR, the de fact standard plasma etch system for R&D, and the UV-2, a tabletop UV ozone cleaning system, have been set up at samco-ucp ltd. samco-ucp ltd. is located in Liechtenstein, next to Switzerland and easily accessible from the UK, Germany, France, Italy and other European countries.

The RIE-10NR with an installed base of over 400 systems worldwide is a compact, novel, low-cost equipment that can process wafers up to ø200 mm with excellent uniformity--with variable electrode gap configuration, making it ideal for R&D applications with a large process window.

Samco also has a great variety of production systems with reaction chambers based on the same concept for both, research and production customers. The RIE-10NR is used for a wide range of applications such as failure analysis and virus detection devices. Samco's increased presence in Europe represents a commitment to the renewed growth in semiconductor manufacturing in the region. The installation of demonstration systems will allow European customers to grips with our sophisticated systems and try out a range of samples and process parameters.

About samco-ucp ltd.
samco-ucp was founded on June 1, 2014, after Samco and UCP decided to join forces. Prior to this day, both companies were already well known in the thin film and plasma technologies.

About Samco Inc.
Samco Inc. (TSE: 6387), a leading manufacturer of plasma processing equipment for the compound semiconductor industry, was founded by Osamu Tsuji in Kyoto, Japan in 1979 with the meaning of Semiconductor And Materials COmpany. Over the past 40 years, more than 4,000 Samco systems have been installed and used in 30 different countries. Its equipment and thin film technology are widely used in the fabrication of semiconductor devices, including MicroLEDs, Laser Diodes, VCSELs, SiC Power Devices, GaN RF Devices, BAW / SAW Filters, MEMS, TSVs, and so on. Learn more at www.samco.co.jp/en

Company Contacts:

TSUCHIHASHI, Atsushi

Public Relations

Phone: 81-75-621-7841

E-mail: tsuchihashi@samco.co.jp