Category: 2014 Customer

25 Dec

Scientific Paper on a-Si:H and a-Si3N4 Film Properties from University of West Bohemia, Czech Republic

Samco 2014 Customer, a-Si PECVD, Samco Customer Publication, Silicon/Dielectrics PECVD, SiNx PECVD

Transition from a-Si:H to Si3N4 in thin films deposited by PECVD technology from silane diluted with nitrogen

P. Šutta1, P. Calta1, J. Müllerová2, M. Netrvalová1, R. Medlín1, J. Savková1 and V. Vavruňková1
1 New Technologies – Research Centre, University of West Bohemia, Univerzitní 8, 306 14 Pilsen, Czech Republic
2 Institute of A. Stodola, Faculty of Electrical Engineering, University of Žilina, Kpt. J. Nálepku 1390, 031 01 Lipt. Mikuláš, Slovak Republic
Presented at 2014 10th International Conference on Advanced Semiconductor Devices & Microsystems (ASDAM)

Samco PECVD System was used for the deposition of a-SiN:H thin films and a-Si:H/a-Si3N4 multi-layered films.

Periodic Table SiN

For more details of our Si3N4 PECVD process capabilities, please visit the page below.
Si3N4 PECVD Process

19 Oct

Scientific Paper on Silicon Photonic Circuit Fabrication from University of Delaware

Samco 2014 Customer, Photonic Devices, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch, Silicon/Dielectrics PECVD, SiO2 PECVD

Packaging and design of a heterogeneous dual laser chip for a widely tunable spectrally pure optical RF source

David W. Grund Jr., Garrett J. Schneider, Janusz Murakowski, and Dennis W. Prather
Electrical and Computer Engineering, University of Delaware, Newark, Delaware, USA
Optics Express (2014) 22, 17, pp. 19838-19849

Samco ICP Etch System was used for silicon fine etching.
Then, Samco PECVD System was used for SiO2 layer deposition to isolate a metal heater from the etched silicon layer.

For details of SiO2 PECVD process capabilities, please visit the page below.
SiO2 PECVD Process

27 Sep

Scientific Paper on Microfluidics Fabrication Using Glass Dry Etching by National Tsing Hua University, Taiwan

Samco 2014 Customer, Microfluidics, Samco Customer Publication, Silicon/Dielectrics Etch, SiO2 Etch

DNA combing on low-pressure oxygen plasma modified polysilsesquioxane substrates for single-molecule studies

K. K. Sriram1,2,3 Chun-Ling Chang3 U. Rajesh Kumar1,4,5 and Chia-Fu Chou3,6,7
Nano Science and Technology Program, Taiwan International Graduate Program, Academia Sinica, Taipei 11529, Taiwan
Department of Engineering and System Science, National Tsing Hua University, Hsinchu 30013, Taiwan
Institute of Physics, Academia Sinica, Taipei 11529, Taiwan
Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei 10617, Taiwan
Department of Chemistry, National Taiwan University, Taipei 10617, Taiwan
Genomics Research Center, Academia Sinica, Taipei 11529, Taiwan
Research Center for Applied Sciences, Academia Sinica, Taipei 11529, Taiwan
Biomicrofluidics (2014) 8, 052102

SAMCO ICP Etch System was used for microchannel fabrication on fused silica glass substrates.

For more details of our glass dry etch technologies (SiO2, fused silica and quartz), please visit the page below.
SiO2 Dry Etching Process (RIE or ICP-RIE)

03 Sep

Scientific Paper on Field-plate Gate Fabrication from Fudan University, China

Samco 2014 Customer, Power Devices, Samco Customer Publication, Silicon/Dielectrics Etch, SiNx Etch

Nanofabrication of air-spaced field-plate gates with ultra-short footprint

Jinhai Shaoa, Jianpeng Liua, Junjie Lia, Sichao Zhanga, Bing-Rui Lua, W. Luand Yifang Chena
a State Key Lab of ASIC and System, School of Information Science and Engineering, Fudan University, Shanghai 200433, China
b Department of Electrical and Computer Engineering, Ohio State University, Columbus, OH 43210, USA
Microelectronic Engineering (2014) 143, 1, 11-14

Samco RIE System at Fudan University was used for SiNx plasma etching to fabricate short foot-print field-plate gates for GaN based high electron mobility transistors (HEMTs).

Periodic Table SiN

For our process solutions of GaN power device fabrication, please visit the page below.
AlGaN/GaN Etch for GaN Power Device Fabrication

19 Aug

Scientific Paper on MEMS Probe Fabrication Using the Bosch Process Etching by Princeton University

Samco 2014 Customer, MEMS, Samco Customer Publication, Si DRIE, Silicon/Dielectrics Etch

Fabrication and Characterization of a Novel Nanoscale Thermal Anemometry Probe

Margit Vallikivi and Alexander J. Smits
Department of Mechanical and Aerospace Engineering, Princeton University, Princeton, NJ 08544 USA
Journal of Microelectromechanical Systems (2014) 23 , 4

Samco Silicon DRIE System at Princeton University was used for slope formation from a silicon substrate to fabricate a MEMS-based nanoscale thermal anemometry probe.

Silicon Periodic Table

For more details of our deep silicon etching capabilities using the Bosch Process, please visit the page below.
Si DRIE (Deep Reactive Ion Etching) for MEMS and TSV
Deep Silicon Etching Process Data Using the Bosch Process

08 Aug

Scientific Paper on AlGaN/GaN MOSFET from Dalian University of Technology and The University of Tokushima

Samco 2014 Customer, Power Devices, Samco Customer Publication, Silicon/Dielectrics PECVD, SiO2 PECVD

Process dependency on threshold voltage of GaN MOSFET on AlGaN/GaN heterostructure

Qingpeng Wanga, b, Ying , b, Takahiro Miyashitac, Shin-ichi Motoyamac, Liuan Lib, Dejun Wanga, Yasuo Ohnob and Jin-Ping Aob
a School of Electronic Science and Technology, Dalian University of Technology, 2 Linggong Road, Ganjingzi District, Dalian 116024, China
b Institute of Technology and Science, The University of Tokushima, 2-1 Minami-josanjima, Tokushima 770-8506, Japan
c Research and Development Department, SAMCO Inc., 36 Waraya-cho, Takeda, Fushimi-ku, Kyoto 612-8443, Japan
Solid-State Electronics (2014) 99, Pages 59–64

Samco PECVD system was used for SiO2 insulation film deposition.
This paper is collaboration work of Dalian University of Technology, The University of Tokushima and Samco.

SiO2 Periodic Table
For more details of our SiO2 PECVD technologies, please visit the page below.
SiO2 PECVD Process

12 Jul

Scientific Paper on Nb-TiO2 Dry Etching Process from Tokyo Institute of Technology

Samco 2014 Customer, Other Materials Etch, Samco Customer Publication, TiO2 Etch

Angled etching of (001) rutile Nb–TiO2 substrate using SF6-based capacitively coupled plasma reactive ion etching

Akihiro Matsutani1, Kunio Nishioka1, Mina Sato1, Dai Shoji1, Daito Kobayashi2, Toshihiro Isobe2, Akira Nakajima2, Tetsu Tatsuma3 and Sachiko Matsushita2
1 Semiconductor and MEMS Processing Center, Tokyo Institute of Technology, Yokohama 226-8503, Japan
2 Department of Metallurgy and Ceramics Science, Tokyo Institute of Technology, Meguro, Tokyo 152-8550, Japan
3 Institute of Industrial Science, The University of Tokyo, Meguro, Tokyo 153-8505, Japan
Jpn. J. Appl. Phys. (2014) 53 06JF02

Rutile Nb–TiO2 substrates were etched using Samco Reactive Ion Etch (RIE) System. Vertical sidewalls and a smooth surface were successfully achieved.

25 Jun

Scientific Paper on Film Properties of a-Si:H and SiO2 Plasma CVD Films by University of West Bohemia, Czech Republic

Samco 2014 Customer, a-Si PECVD, Samco Customer Publication, Silicon/Dielectrics PECVD

Investigation of the transition phases from amorphous silicon-based multilayers to silicon nanostructures by in situ X-ray diffraction

Solomon Agbo, Pavel Calta, Pavol Sutta, Veronika Vavrunkova, Marie Netrvalova and Lucie Prusakova
New Technologies Research Centre, University of West Bohemia, Pilsen, Czech Republic
physica status solidi (a) (2014) 211, 7, 1512–1518

Samco Plasma CVD System was used for deposition of multilayers comprising alternating layers of a-Si:H and SiO2.

For more details of our SiO2 Plasma CVD technologies, please visit the process data page below.
SiO2 Plasma CVD Process Data

SiO2 Periodic Table

19 Jun

Scientific Paper on Fluorescence Detection Device Fabrication Using a-Si PECVD Process by AIST, Japan

Samco 2014 Customer, a-Si PECVD, Microfluidics, Samco Customer Publication, Silicon/Dielectrics PECVD

Heterogeneously integrated laser-induced fluorescence detection devices: Integration of an excitation source

Toshihiro Kamei, Keiko Sumitomo, Sachiko Ito, Ryo Takigawa, Noriyuki Tsujimura, Hisayuki Kato, Takeshi Kobayashi and Ryutaro Maeda
National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba, Ibaraki 305-8564, Japan
Japanese Journal of Applied Physics (2014) 53, 06JL02

P-doped, undoped and B-doped a-Si:H film deposition were performed using SAMCO PECVD System for microfluidic device fabrication.

10 Jun

Scientific Paper on InGaAs Nanowire Fabrication Using InGaAs Plasma Etching from MIT

Samco 2014 Customer, Compound Semiconductor Etching, InGaAs Etch, Samco Customer Publication

Nanometer-Scale Vertical-Sidewall Reactive Ion Etching of InGaAs for 3-D III-V MOSFETs

Xin Zhao and Jesús A. del Alamo
Microsystems Technology Laboratories, Massachusetts Institute of Technology, Cambridge, MA 02139 USA
IEEE ELECTRON DEVICE LETTERS (2014) 35, 5

Samco ICP etch system was used for fabrication of InGaAs nanowires. The nanowires showed vertical and smooth sidewalls by optimization of the etch recipe.
Massachusetts Institute of Technology (MIT) is one of the proprietary customers of Samco plasma etching systems. They use our process equipment for plasma etching of III-V materials such as GaN, GaAs and InGaAs in various device projects.

InGaAs Periodic Table

For our process capabilities of GaAs plasma etching, please visit the page below.
GaAs Dry Etching Process (ICP-RIE)