Category: Samco Customer Publication

27 Oct

Scientific Paper on N-doped a-SiC Film Deposition Using PECVD from Yamaguchi University, Japan

Samco 2013 Customer, Samco Customer Publication, Silicon/Dielectrics PECVD

Fabrication of silicon and carbon based wide-gap semiconductor thin films for high conversion efficiency

Kohsuke Yoshinaga, Hiroshi Naragino, Akira Nakahara and Kensuke Honda
Graduate school of Science and Engineering, Yamaguchi University, 1677-1, Yoshida,
Yamaguchi-shi, Yamaguchi, 753-8512, Japan
Journal of Physics: Conference Series (2013) 441, conference 1

SAMCO PECVD System was used for deposition of Nitrogen-doped amorphous silicon carbide films (N-doped a-SiC) to investigate the film properties.

13 Oct

Scientific Paper on Fabrication of InP Photonic Crystal Waveguide from Tsinghua University, China

Samco 2013 Customer, Compound Semiconductor Etching, InP Etch, Photonic Devices, Samco Customer Publication

Fabrication of high-aspect-ratio double-slot photonic crystal waveguide in InP heterostructure by inductively coupled plasma etching using ultra-low pressure

Kaiyu Cui, Yongzhuo Li, Xue Feng, Yidong Huang and Wei Zhang
Department of Electronic Engineering, Tsinghua National Laboratory for Information Science and Technology, Tsinghua University, Beijing 100084, China
AIP ADVANCES (2013) 3, 022122

InP photonic crystal waveguide was fabricated using SAMCO ICP Etch System.

InP Periodic Table

For more details of our InP dry etching process capabilities, please visit the page below.
InP Dry Etching Process (RIE or ICP-RIE)

20 Sep

Scientific Paper on AlGaN/AlN/GaN HFETs Fabrication from Virginia Commonwealth University

Samco 2013 Customer, AlGaN Etch, Compound Semiconductor Etching, GaN Etch, Power Devices, Samco Customer Publication

Microwave performance of AlGaN/AlN/GaN -based single and coupled channels HFETs

R. A. Ferreyra, X. Li, F. Zhang, C. Zhu, N. Izyumskaya, C. Kayis, V. Avrutin, Ü. Özgür, and H. Morkoç
Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, VA, USA 23284-3072
Proc. SPIE 8625, Gallium Nitride Materials and Devices VIII, 86252B (March 27, 2013)

SAMCO ICP Etch System at Virginia Commonwealth University was used for mesa isolation of AlGaN/AlN/GaN heterostructures.

GaN Periodic Table

For our process solutions for GaN power device fabrication, please visit the page below.
AlGaN/GaN Etch for GaN Power Device Fabrication

Also, for more information on our GaN plasma etching process capabilities, please visit the process data page below.
GaN Plasma Etching Process (RIE or ICP Etching)

19 Aug

Scientific Paper on Multi-channel PMMA Microfluidic Biosensor by King Mongkut’s University and Cornell University

Samco 2013 Customer, Samco Customer Publication, Surface Treatment, UV-Ozone

Multi-channel PMMA microfluidic biosensor with integrated IDUAs for electrochemical detection

Nongnoot Wongkaewa,b, Peng Heb, Vanessa Kurthb, Werasak Surareungchaia, and Antje J. Baeumnerb
aSchool of Bioresources and Technology, King Mongkut’s University of Technology Thonburi, Bangkhuntien, Bangkok 10150,Thailand bDepartment of Biological and Environmental Engineering, Cornell University, 202 Riley Robb Hall, Ithaca, NY 14853, USA
Anal Bioanal Chem. 2013 July ; 405(18): 5965–5974. doi:10.1007/s00216-013-7020-0.

Samco UV-Ozone Cleaner at Cornell University was used for oxidation of PMMA to generate carboxylic groups on the surface in microfluidic biosensor fabrication. Samco UV-Ozone cleaners are used for many R&D labs of polymer biosensor fabrication for bonding of polymer materials, such as PDMS, PMMA , COP & COC.

28 Jul

Scientific Paper on Metallic Infrared Filter Fabrication Using Al Plasma Etching by NIMS, Japan

Samco 2013 Customer, Al Etch, Other Materials Etch, Photonic Devices, Samco Customer Publication, Silicon/Dielectrics Etch, SiO2 Etch

Structural Optimization of Metallic Infrared Filters Based on Extraordinary Optical Transmission

Makoto Ohkado1, Tsuyoshi Nomura1, Atushi Miura1, Hisayoshi Fujikawa1, Naoki Ikeda2, Yoshimasa Sugimoto2 and Shinji Nishiwaki3
1Toyota Central R&D Labs. Inc., 41-1 Yokomichi, Nagakute, Aichi 480-1192, Japan
2National Institute for Material Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047, Japan
3Kyoto University, Yoshida Honmachi, Sakyo-ku, Kyoto, Kyoto 606-8501, Japan
Transactions of the Materials Research Society of Japan (2013) 38, No. 2 p. 167-170

SiO2 plasma etching and Al plasma etching were performed using Samco RIE system and ICP etch system, respectively to fabricate hole array structures of the infrared filter.

For more details of our SiO2 dry etching capabilities, please visit the page below.
SiO2 Dry Etching Process (RIE or ICP-RIE)

20 Jul

Scientific Paper on Graphene Etching from Northwestern University

Samco 2013 Customer, Graphene Etch, Other Materials Etch, Samco Customer Publication

Optimization of graphene dry etching conditions via combined microscopic and spectroscopic analysis

Mariana C. Prado1, Deep Jariwala2, Tobin J. Marks2,3 and Mark C. Hersam2,3
1 Departamento de Física, Universidade Federal de Minas Gerais, Av. Antônio Carlos, 6627, 31270-901 Belo Horizonte, Brazil
2 Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, USA
3 Department of Chemistry, Northwestern University, Evanston, Illinois 60208, USA
Appl. Phys. Lett. (2013) 102, 193111

Samco RIE System at Northwestern University was used for investigation of reactive ion etching process of graphene.

Samco RIE systems are versatile tools for plasma etching of various materials including Si, SiO2, SiNx, metal, polymer and carbon-based materials.
For more information on our RIE system, please visit the product page below.
RIE Etching Systems

27 Jun

Scientific Paper on Surface Treatment to Reduce the Resistance of AlGaN/GaN HEMT from MIT and University of Tsukuba

Samco 2013 Customer, Plasma Treatment, Power Devices, Samco Customer Publication, Surface Treatment

Formation of low resistance ohmic contacts in GaN-based high electron mobility transistors with BCl3 surface plasma treatment

Tatsuya Fujishima1, Sameer Joglekar1, Daniel Piedra1, Hyung-Seok Lee1, Yuhao Zhang1, Akira Uedono2 and Tomás Palacios1
1 Microsystems Technology Laboratories, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139-4307, USA
2 Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573, Japan
Appl. Phys. Lett. 103, 083508 (2013)

Surface treatment technique to reduce the resistance and to increase the uniformity of ohmic contacts in AlGaN/GaN high electron mobility transistors was developed using Samco ICP etch system.

For more details of our process solutions for GaN power device fabrication, please visit the page below.
AlGaN/GaN Etch for GaN Power Device Fabrication

22 Jun

Scientific Paper on Stress Sensor Fabrication Using SiO2 Plasma Etching from Chinese Academy of Sciences

Samco 2013 Customer, MEMS, Samco Customer Publication, Silicon/Dielectrics Etch, SiO2 Etch

A transfer technique of stress sensors for versatile applications

C. Dou, H. Yang, Y. Wu and X. Li
State Key Laboratory of Transducer Technology, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, China
Nano/Micro Engineered and Molecular Systems (NEMS), 2013 8th IEEE International Conference on, Suzhou, 2013, pp. 931-934.
doi: 10.1109/NEMS.2013.6559876

Samco open-load RIE etch system was used for SiO2 layer removal in device fabrication.

SiO2 Periodic Table

For more details of our SiO2 plasma etching capabilities, please visit the page below.
SiO2 Dry Etching Process (RIE or ICP-RIE)

19 Feb

Scientific Paper on GaAs/AlGaAs Plasma Etching Process Development from MIT

Samco 2013 Customer, AlGaAs Etch, Compound Semiconductor Etching, GaAs Etch, Samco Customer Publication

Chamber conditioning process development for improved inductively coupled plasma reactive ion etching of GaAs/AlGaAs materials

Michael K. Connors, Jason J. Plant, Kevin G. Ray, and George W. Turner
Lincoln Laboratory, Massachusetts Institute of Technology
J. Vac. Sci. Technol. B 31, 021207 (2013)

SAMCO ICP Etching System, RIE-200iP was used for GaAs plasma etching process investigation.

Periodic Table GaAs

For more details of our GaAs plasma etching capabilities, please visit the page below.
GaAs Dry Etching Process (ICP-RIE)

10 Jan

Scientific Paper on Pb(Zr,Ti)O 3 thin film based resonators Using PZT Plasma Etching from Beihang University

Samco 2013 Customer, MEMS, Other Materials Etch, PZT Etch, Samco Customer Publication

Monolithic integration of Pb(Zr,Ti)O3 thin film based resonators using a complete dry microfabrication process

Yonggang Jiang1 , Kensuke Kanda2,3, Yuki Iga2, Takayuki Fujita2,3, Kohei Higuchi2, Kazusuke Maenaka2,3
1 School of Mechanical Engineering and Automation, Beihang University, Xueyuan Road No. 37, Haidian District, Beijing, 100191, China
2 Maenaka Human-Sensing Fusion Project, ERATO, Japan Science and Technology Agency, 2167 Shosha, Himeji, Hyogo, 671-2280, Japan
3 Graduate School of Engineering, University of Hyogo, 2167 Shosha, Himeji, Hyogo, 671-2280, Japan
Microsyst Technol (2013) 19:137–142

SAMCO ICP etch system was used for PZT plasma etching to fabricate thin film MEMS resonators.