Category: Samco Customer Publication

11 Nov

Scientific Paper on Wrinkled PDMS Film Fabrication from Nanjing University, China

Samco 2012 Customer, Other Materials Etch, PMMA Etch, Resist, Samco Customer Publication

Crack-free controlled wrinkling of a bilayer film with a gradient interface

Yan Xuana Xu Guoa Yushuang Cuia Changsheng Yuana Haixiong Gea Bo Cuib and Yanfeng Chena
National Laboratory of Solid State Microstructures, Department of Materials Science and Engineering, College of Engineering and Applied Sciences, Nanjing University, Nanjing, 210093, China
Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue, West Waterloo, Ontario N2L3G1, Canada
Soft Matter (2012) 8, 9603

SAMCO Plasma Etching System (RIE etcher) was used for plasma etching of silicon-containing resist and PMMA to fabricate wrinkled films.

27 Oct

Scientific Paper on AlN Nanocavity Fabrication Using AlN Plasma Etching from the University of Tokyo

Samco 2012 Customer, AlN Etch, Other Materials Etch, Photonic Devices, Samco Customer Publication

High-Q AlN photonic crystal nanobeam cavities fabricated by layer transfer

S. Sergent1, M. Arita1, S. Kako1, K. Tanabe1, S. Iwamoto1,2 and Y. Arakawa1,2
1 Institute for Nano Quantum Information Electronics, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8505, Japan
2 Institute of Industrial Science, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8505, Japan
Appl. Phys. Lett. 101, 101106 (2012)

AlN nanopattern was fabricated by AlN plasma etching using Samco ICP etch system to improve the quality factor of nanobeam cavities.

AlN plasma etching

For more information on our ICP etching sytems, please visit the product page below.
Samco ICP Plasma Etcher

29 Jun

Scientific Paper on Transparent Microelectrode Fabrication from Cornell University Team

Samco 2012 Customer, Samco Customer Publication, Surface Treatment, UV-Ozone

Transparent Electrode Materials for Simultaneous Amperometric Detection of Exocytosis and Fluorescence Microscopy

Kassandra Kisler1, Brian N. Kim1, Xin Liu2, Khajak Berberian1, Qinghua Fang1, Cherian J. Mathai3, Shubhra Gangopadhyay3, Kevin D. Gillis2,4, and Manfred Lindau1
1 School of Applied and Engineering Physics, Cornell University, Ithaca, NY USA
2 Dalton Cardiovascular Research Center, University of Missouri, Columbia, MO USA
3 Department of Electrical and Computer Engineering, University of Missouri, Columbia, MO USA
4 Departments of Biological Engineering and Medical Pharmacology and Physiology, University of Missouri, Columbia, MO USA
J Biomater Nanobiotechnol. 2012 ; 3(2A): 243–253.

Samco UV-Ozone cleaner at Cornell University was used for photoresist descum in sample preparation.

Our UV-Ozone cleaners are equipped with stage heating and ozone generator, and they enable high-speed photoresist ashing & stripping. The processes can be applied for photoresist decsum application. For more information on our UV-Ozone cleaners, please visit the product page below.
UV-Ozone Cleaners (Table-top & Production Models)

07 May

Scientific Paper on Quantum-Cascade Laser Using GaAs Dry Etching by Paul-Drude-Institut

Samco 2012 Customer, AlGaAs Etch, Compound Semiconductor Etching, GaAs Etch, Photonic Devices, Samco Customer Publication

Lateral distributed-feedback gratings for single-mode, high-power terahertz quantum-cascade lasers

M. Wienold, A. Tahraoui, L. Schrottke, R. Sharma, X. Lü, K. Biermann, R. Hey, and H. T. Grahn
Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin, Germany
Optics Express Vol.20, Issue 10, pp. 11207-11217 (2012)

SAMCO ICP Etching System was used for GaAs/AlGaAs dry etching over SiO2 mask in fabrication of Terahertz quantum-cascade lasers (THz QCLs).

Periodic Table GaAs

For our capabilities of GaAs dry etching, please visit the process data page below.
GaAs Dry Etching Process (ICP-RIE)

25 Dec

Scientific Paper on Photonic Crystal Laser Fabrication Using InP Plasma Etching by Yokohama National University

Samco 2011 Customer, Compound Semiconductor Etching, InP Etch, Photonic Devices, Samco Customer Publication

Photonic Crystal Point-Shift Nanolasers With and Without Nanoslots—Design, Fabrication, Lasing, and Sensing Characteristics

Shota Kita, Kengo Nozaki, Shoji Hachuda, Hideki Watanabe, Yuji Saito, Shota Otsuka, Takeharu Nakada, Yoshiki Arita, and Toshihiko Baba
Department of Electrical and Computer Engineering, Yokohama National University, 79-5 Tokiwadai, Hodogaya-ku, Yokohama 240-8501, Japan
IEEE Journal of Selected Topics in Quantum Electronics, (2011) 17, 6

SAMCO ICP Etch System was used for recipe optimization of InP plasma etching. InP etch profile with a high aspect-ratio was successfully fabricated.

InP Periodic Table

For our process capabilities of InP plasma etching, please visit the page below.
InP Dry Etching Process (RIE or ICP-RIE)

25 Dec

Scientific Paper on Nano-pillar Array Formation Using Silicon Plasma Etching by National Taiwan University

Samco 2011 Customer, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch

Periodic Si nanopillar arrays by anodic aluminum oxide template and catalytic etching for broadband and omnidirectional light harvesting

Hsin-Ping Wang1, Kun-Tong Tsai1,2, Kun-Yu Lai1, Tzu-Chiao Wei1, Yuh-Lin Wang2 and Jr-Hau He1
1Institute of Photonics and Optoelectronics, & Department of Electrical Engineering, National Taiwan University,
Taipei 10617, Taiwan
2Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei, Taiwan
Optics Express (2012) 20,S1, pp. A94-A103

Samco RIE etcher was used for silicon nanopillar fabrication by silicon plasma etching against anodic aluminum oxide (AAO) mask.

For more information on our silicon plasma etching process capabilities including the Bosch Process etching, please visit the process data pages below.
Silicon Plasma Etching (RIE or ICP Etch)
Silicon Deep Etching Using the Bosch Process

For more detail specs of our RIE etch equipment, please visit the product page below.
RIE Plasma Etcher

28 Nov

Scientific Paper on GaSb Dry Etching Process Development by MIT Team

Samco 2011 Customer, Compound Semiconductor Etching, GaSb Etch, Samco Customer Publication

Investigating GaSb(001) Dry Etching by ICP-RIE on a non-Silicon Containing Sample Holder with no Organic Gases

Hamad A. Albrithen1, Gale S. Petrich2, Leslie A. Kolodziejski3, Abdelmajid Salhi4 and Abdulrahman A. Almuhanna4
1 Physics and Astronomy, KAIN, King Saud University, Riyadh, Riyadh, Saudi Arabia.
2 Research Laboratory of Electronics, MIT, Cambridge, Massachusetts.
3 Department of Electrical Engineering and Computer Science, MIT, Cambridge, Massachusetts.
4 National Center for Nano Technology Research, King Abdulaziz City for Science and Technology, Riyadh, Riyadh, Saudi Arabia.
MRS Proceedings 2012 1396, mrsf11-1396-o07-33
doi:10.1557/opl.2012.775.

gasb etching

GaSb plasma etching process over SiO2 mask was investigated using Samco ICP etch system.
Anisotropic GaSb etching with smooth sidewalls were achieved with the recipe optimization.

For more details of our GaSb plasma etching capabilities, please visit the process data page below.
GaSb Plasma Etching

27 Nov

Scientific Paper on Whisker Fabrication Using Diamond Etching from Nagoya University Team

Samco 2011 Customer, Diamond Etch, Other Materials Etch, Samco Customer Publication

Fabrication of Vertically Aligned Diamond Whiskers from Highly Boron-Doped Diamond by Oxygen Plasma Etching

Chiaki Terashima1, Kazuki Arihara4, Sohei Okazaki4, Tetsuya Shichi4, Donald A. Tryk5, Tatsuru Shirafuji2, Nagahiro Saito1, 2, 3, Osamu Takai1, 2, 3, and Akira Fujishima4, 6
Research Center for Materials Backcasting Technology, Graduate School of Engineering, and 2Department of Materials, Physics and Energy Engineering, Graduate School of Engineering, EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603, Japan
Technology Research and Development Department, General Technology Division, Central Japan Railway, 1545-33 Ohyama, Komaki City, Aichi 485-0801, Japan
Fuel Cell Nanomaterials Center, University of Yamanashi, 4-3-11 Takeda, Kofu, Yamanashi 400-8511, Japan
Tokyo University of Science, 1-3 Kagurazaka, Shinjyuku-ku, Tokyo 162-8601, Japan
ACS Appl. Mater. Interfaces, 2011, 3 (2), pp 177–182
DOI: 10.1021/am1007722

Samco open-load Plasma Etching System was used for diamond plasma etching to fabricate vertically aligned diamond whiskers.

diamond etching
For more details of our diamond plasma etching technologies, please visit the diamond process data page below.
Diamond Dry Etching Process (RIE or ICP-RIE)

02 Dec

Scientific Paper on Miniature Fuel Cell Fabrication from Tokyo University of Science

Samco 2010 Customer, MEMS, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch

Miniature Fuel Cell with Monolithically Fabricated Si Electrodes-Reduction of Pt Usage by Pd-Pt Catalyst

Takayuki Honjo, Taku Matsuzaka and Masanori Hayase
Tokyo University of Science, Noda, Chiba, Japan
Presented at Power MEMS 2010, Nov 30-Dec 3, Leuven, Belgium

Samco RIE Etcher was used for silicon plasma etching over Cu mask in fuel channel fabrication of miniature fuel cells.

silicon etching
For more details of our silicon etching technologies, please visit the process data pages below.
Si Dry Etching Process (RIE, ICP-RIE or XeF2 Etch)
Deep Silicon Trench/Via Hole Etching using Bosch Process

05 Aug

Scientific Paper on AlGaN/GaN Metal-oxide-semiconductor HFET from Virginia Commonwealth University

Samco 2010 Customer, AlGaN Etch, Compound Semiconductor Etching, GaN Etch, Power Devices, Samco Customer Publication

Low-frequency Noise Measurements of AlGaN/GaN Metal-oxide-semiconductor Heterostructure Field-effect Transistors with HfAlO Gate Dielectric

C. Kayis, J. H. Leach, C. Y. Zhu, M. Wu, X. Li, Ü. Özgür, H. Morkoç, . Yang, V. Misra, and P. H. Handel
Microelectronics Materials and Device Laboratory, Virginia Commonwealth University
In: IEEE Electron Device Letters, Vol. 31, No. 9, 5540258, 09.2010, p. 1041-1043.

Mesa isolation of AlGaN/GaN metal-oxide-semiconductor heterostructure field-effect transistors (MOS-HFETs) was performed using a SAMCO ICP Etch System RIE-101iPH using a Cl based chemistry.

Virginia Commonwealth University is one of Samco’s customers using our process equipment for AlGaN & GaN plasma etching process in device fabrication.
Virginia Commonwealth University Microelectronics Materials & Device Laboratory.

gan etching

Samco offers process solutions for AlGaN/GaN power device fabrication using precise AlGaN & GaN plasma etching technologies and SiO2 PECVD technologies for an passivation layer deposition. For more details on the process solutions, please visit the page below.
AlGaN/GaN Etch for GaN Power Device Fabrication