Category: Samco Customer Publication

12 Jan

Scientific paper on Ga2O3 etching from University of Tsukuba

Samco 2019 Customer, Compound Semiconductor Etching, Ga2O3 Etch, Samco Customer Publication

Dry and wet etching for β-Ga2O3 Schottky barrier diodes with mesa termination

Hironori Okumura1 and Taketoshi Tanaka2
1 Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba 305-8573, Japan
2 Rohm Co. Ltd., Kyoto 615-8585, Japan
Japanese Journal of Applied Physics, 58, 120902 (2019)

Ga2O3 is a compound semiconductor material with a large band-gap energy. Research on this material is still early stage, but more researchers are getting interested in unique material properties.  Dry etching and wet etching of β-Ga2O3 was studied in this paper. Samco ICP-RIE system RIE-400iP was used for mesa structure fabrication in ICP etching of chlorine chemistry.

Profile control of compound semiconductor materials is one of our strong points in material processing. We have accumulated process knowledge on GaN, GaAs, InP, Ga2O3, etc.
If you would like to see some process data, please visit the following webpage.
Process Data by Materials

30 Aug

Scientific paper on cell microarray chip by AIST

Samco 2018 Customer, Samco Customer Publication, Surface Treatment

Pseudo-Infected Red Blood Cell Beads as Positive Control for Cell Microarray Chip–Based Detection of Plasmodium-Infected RBCs

Muneaki Hashimoto 1, Masahiko Numata 2, Shouki Yatsushiro 1, Yusuke Ido 1, Masato Tanaka 1, Kazuaki Kajimoto 1, and Masatoshi Kataoka 1

1 Health Research Institute, National Institute of Advanced Industrial Science and Technology (AIST), 2217-14, Hayashi-cho, Takamatsu, Kagawa 761-0301, Japan.

2 National Metrology Institute of Japan (NMIJ), National Institute of Advanced Industrial Science and Technology (AIST), 1-1-1, Umezono, Tsukuba, Ibaraki 305-8563, Japan.

A cell microarray chip was fabricated to detect detect red blood cells (RBCs) infected with the causative agent of malaria, Plasmodium. In this research, Samco RIE system was used for hydrophilic surface treatment of the microarray devices.

Samco plasma equipment is widely used not only for conventional semiconductor device research but also for biomedical research field. Plasma treatment technology is useful for wettability improvement of surfaces for cell adhesion and stable liquid flow inside fine micro-channels. Aqua Plasma®, unique plasma treatment technology is beneficial for super-hydrophilic surfaces and direct bonding of polymer substrates such as COP (cyclo-olefin polymer) and COC (cyclo-olefin polymer). Please check process solution page below for more details.

Plasma Treatment & Bonding for Polymer Microfluidics

23 Aug

Scientific paper on high-selectivity SiO2 etching by Fudan University

Samco 2018 Customer, Samco Customer Publication, Silicon/Dielectrics Etch, SiO2 Etch

Highly selective etch of silicon dioxide with tungsten hard mask deposited by PVD process

Yuanhui Fang, Jian Zhang, Yu-Long Jiang
School of Microelectronics, Fudan University, Shanghai 200433, China
2018 18th International Workshop on Junction Technology (IWJT), Shanghai, 2018, pp. 1-3.

Samco RIE Plasma Etching Equipment was used for tungsten etching and SiO2 etching with the tungsten hardmask.

Openload RIE System

22 Aug

Scientific paper on LiNbO3 resonator from National Tsing Hua University

Samco 2018 Customer, LiNbO3 Etch, Other Materials Etch, Samco Customer Publication

A VHF temperature compensated lithium niobate-on-oxide resonator with Q > 3900 for low phase noise oscillators

Grace W. Fanga, Gayathri Pillaib, Ming-Huang Lic, Chun-You Liua, Sheng-Shian Lia
a Department of Power Mechanical Engineering, National Tsing Hua University, Hsinchu, Taiwan
b Institute of NanoEngineering and MicroSystems, National Tsing Hua University, Hsinchu, Taiwan
c MNTL, University of Illinois at Urbana Champaign, Urbana, IL, USA

2018 IEEE Micro Electro Mechanical Systems (MEMS), Belfast, 2018, pp. 723-726.

LiNbO3-based resonator with high Q over 3900 was fabricated in this research. Samco Reactive Ion Etching Tool was used for LiNbO3 etching with SiO2 in chlorine-based chemistry.

Samco is capable of high-quality plasma etching processes of various materials. Please see our process data page for more details.
Plasma Etching & PECVD Process Data

21 Feb

Scientific paper on biochip fabrication by Tokyo Institute of Technology

Samco 2018 Customer, Microfluidics, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch

Celluloid Microenclosure and Microlens Array Fabricated by Suzukiʼs Universal Microprinting Method and XeF2 Vapor Etching for Microbial Analysis

Akihiro Matsutani1 and Ayako Takada2
1 Semiconductor and MEMS Processing Division, Technical Department, Tokyo Institute of Technology,
4259 Nagatsuta, Yokohama, Kanagawa 226-8503, Japan
2 Biomaterials Analysis Division, Technical Department, Tokyo Institute of Technology,
4259 Nagatsuta, Yokohama, Kanagawa 226-8501, Japan
Sensors and Materials, Vol. 30, No. 1 (2018) 149–155

A celluloid-based biochip for cell trapping is fabricated using Suzuki’s universal microprinting (SUMP) method. Samco tabletop Reactive Ion Etching (RIE) system was used for mold fabrication. Si layer was etched over photoresist and Cr mask in fluorine chemistry.

For more information on our RIE system lineup, please visit the product page below.
RIE Systems

Tabletop RIE System

20 Feb

Scientific paper on diffraction grating fabrication by Kyoto Institute of Technology

Samco 2018 Customer, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch

Direct fabrication of diffraction grating onto organic single crystals by electron beam lithography

Yoshihiro Kawata, Kazuki Aoki, Yuhi Inada*, Takeshi Yamao, and Shu Hotta
Faculty of Materials Science and Engineering, Kyoto Institute of Technology, Kyoto 606-8585, Japan
Japanese Journal of Applied Physics 57, 03EH11 (2018)

In this paper, direct fabrication of gratings was performed on HMDS-treated SiO2/Si substrates. Samco plasma etching system at Kyoto Institute of Technology was used for plasma etching of SiO2/Si substrates for grating fabrication over an organic semiconducting oligomer 5,5AA-bis(4-biphenylyl)-2,2A:5A,2AA-terthiophene (BP3T). The system was also used for estimate of BP3T etch resistivity.

28 Sep

Scientific paper on 10-nm T-shaped gate fabrication by Fudan University

Samco 2017 Customer, Samco Customer Publication, Silicon/Dielectrics Etch, SiNx Etch

Nanofabrication of 10-nm T-shaped gates using a double patterning process with electron beam lithography and dry etch

Jinhai Shao1, Jianan Deng1, W. Lu2 and Yifang Chen1
1Fudan University (China), 2Ohio State University (United States)
J. of Micro/Nanolithography, MEMS, and MOEMS, 16(3), 033508 (2017).

T-shaped gates with the footprint scaling down to 10 nm were fabricated using a double patterning procedure (electron beam lithography and dry etching). Samco Reactive Ion Etching Tool RIE-10NR was used for pattern transfer of metal nanoslit on SiNx layer in fluorine-based chemistry.

RIE-10NR

12 Sep

Scientific paper on PV/thermoelectric conversion system by Yokohama National University team

Samco 2017 Customer, Samco Customer Publication, Solar Cell

Design concept of a hybrid photo-voltaic/thermal conversion cell for mid-infrared light energy harvester

Yoshiaki Nishijima1, Ryosuke Komatsu1, Takuya Yamamura1, Armandas Balčytis2,3, Gediminas Seniutinas2,4, and Saulius Juodkazis2,5,

1 Department of Electrical and Computer Engineering, Graduate School of Engineering, Yokohama National University, 79-5 Tokiwadai, Hodogaya-ku, Yokohama 240-8501, Japan
2 Nanotechnology Facility, Centre for Micro-Photonics, Swinburne University of Technology, John St., Hawthorn, Victoria 3122, Australia
3 Institute of Physics, Center for Physical Sciences and Technology, 231 Savanoriu̧ av., LT-02300 Vilnius, Lithuania
4 Paul Scherrer Institute, Villigen CH-5232, Switzerland
5 Melbourne Centre for Nanofabrication, ANFF, 151 Wellington Road, Clayton VIC 3168, Australia
Optical Materials Express 7, 10, pp. 3484-3493 (2017)

Typical silicon-based solar cells have limitation on conversion efficiencies especially at IR wavelengths. Utilization of IR spectra and thermal parts is critical to increase the total efficiency of the solar cells. In this research, a hybrid photovoltaic/thermoelectric conversion system was proposed to maximize conversion efficiencies. Samco ICP-RIE System, RIE-101iPH was used to form black silicon (b-Si) on a silicon substrate.

21 Aug

Scientific paper on transparent conductive oxide solar cell by Tokyo Institute of Technology

Samco 2017 Customer, Other Materials Etch, Samco Customer Publication

Optically-rough and physically-flat TCO substrates for superstrate-type thin-film solar cells: Sol-gel Zn1−xMgxO coating on reaction-ion etched glass substrates

Lei Meng and Shinsuke Miyajima
Department of Electrical and Electronic Engineering, School of Engineering, Tokyo Institute of Technology, 2-12-1-NE-17, Ookayama, Meguro-ku, Tokyo, Japan
Solar Energy Materials and Solar Cells 2017 172, pp 230-237
Optically-rough and physically-flat transparent conductive oxides (TCO) substrates were created on glass substrates to promote light absorption of solar cells. Al doped Zn1−xMgxO (AZMO) transparent conductive thin film was etched in CFx based plasma using Samco RIE etcher RIE-10NR. The effects of gas mixture composition on etch rate was investigated.

Samco offers multiple types of RIE etchers from tabletop to 450 mm for various applications (plasma etching, photoresist ashing and plasma treatment). For more information on Samco RIE etcher lineup, please visit the product page below.
RIE Etcher (from tabletop to 450 mm)

RIE-10NR

21 Aug

Scientific paper on low-temperature annealing of InGaZnO transistors by Nara Institute of Science and Technology

Samco 2017 Customer, Samco Customer Publication, Surface Treatment, UV-Ozone

Low temperature (150°C) wet oxygen annealing of amorphous InGaZnO thin-film transistors for flexible device applications

M. P. Jallorina, J. P. Bermundo, Y. Ishikawa and Y. Uraoka
Nara Institute of Science and Technology, Graduate School of Materials Science, 8916-5 Takayama, Ikoma, Nara 630-0192 Japan
2017 24th International Workshop on Active-Matrix Flatpanel Displays and Devices (AM-FPD), Kyoto, Japan, 2017, pp. 203-204.

Low-temperature processing is required in flexible polymer device fabrication because temperature setting is limited by native melting temperature of polymer materials. In this research, several methods of ultraviolet (UV), ozone (O3) and wet oxygen (Wet O2) were compared to investigate the effects on the device performance. Samco UV-ozone cleaner UV-1 was used for UV, ozone or UV-ozone processes. The results show that UV & O3 annealing at 150°C has higher mobility. Samco UV-ozone cleaners are equipped with stage heating (up to 300degC) and ex-situ ozone generator to promote generation of reactive atomic oxygen in thermal ozone dissociation. For more details of our UV-ozone technologies, please visit the product page.
Samco UV-Ozone Cleaner (Tabletop & Production Models)

Tabletop UV-Ozone Cleaner