The Basics of the Bosch Process (Silicon Deep RIE)

SAMCO Inc. > The Basics of the Bosch Process

The Bosch Process

The Bosch Process is a high-aspect ratio plasma etching process. This process is consisted of the cyclic isotropic etching and fluorocarbon-based protection film deposition by quick gas switching. The SF6 plasma cycle etches silicon, and the C4F8 plasma cycle creates a protection layer.

To achieve deep silicon etching with high aspect ratio, both of the SF6 plasma cycle and C4F8 plasma cycle need to be optimized. One of the common failures in this process is breaking of protection film. The protection film needs to be thick enough to withstand the SF6 plasma cycle for highly anisotropic silicon etching.

Another failure in this process is rough sidewalls. The Bosch Process is consisted of the cyclic isotropic etching and protection film deposition by quick gas switching. Slow-speed gas switching can result in large scallops and rough sidewalls. The rough sidewalls cause some problems such as delamination of copper film within Through Silicon Via (TSV).

  • Bosch DRIE 1
  • Bosch Etch 2
  • Bosch Etch 3
  • Bosch DRIE 4
  • Bosch DRIE 5
  • Bosch Etch 6
  • Bosch Etch 7
  • Bosch Etch 8
  • Bosch Etch 9
  • Bosch Etch 10
  • Bosch Etch 11

What the Bosch Process Can Do

Anisotropic deep silicon etching process using the Bosch Process enables trench, hole and pillar fabrication for various device applications.
These are some examples of structures fabricated using the Bosch Process.
For more details, please visit the process data page below.
Deep Silicon Trench/Via Hole Etching using the Bosch Process

MEMS Device Fabrication

MEMS Microchip Array

MEMS Liquid Chromatography (LC) Microchip
Photo courtesy of Shoji Lab, Waseda University

TSV Via Hole Fabrication

silicon etching for TSV processing

Hole Diameter : Ø5 µm
Etch Depth : 50 μm

Fine Pillar Fabration

silicon pillar formation

Pillar Diameter : Ø5 µm
Pillar Height : 250 µm
Photo courtesy of Princeton University

Samco’s Process Capabilities of Bosch Process Silicon Etching

Here we will show our deep silicon etching process capabilities using the Bosch Process.
For more details, please visit the silicon deep etching process solution page below.
Si DRIE (Deep Reactive Ion Etching) for MEMS and TSV

High Aspect Ratio Silicon Etching

Si etching with high aspect ratio

High aspect ratio of 40 can be achieved for silicon trench and pillar etching.

High-SpeedAnisotropic Silicon Etching

High-rate Silicon Deep Etching

High Etch Rate of 55 µm/min can be achieved using high density ICP plasma source.

Smooth Sidewall Etching with Less Scallops

Bosch smooth sidewalls

Smooth sidewall process using 0.1 second high-speed gas switching so that the scallop size is controlled to less than 5 nm while still retaining an etch rate of 2 μm/min.

System Lineup for Bosch Process Silicon Etching

DRIE Systems

– Processing up to ø8” wafers
– Optional SiO2 etch kit



Cassette-to-Caesette DRIE System
Contact SAMCO for more product information
Any questions? Contact us for more detail.
Contact SAMCO for more product information
Any questions? Contact us for more detail.
Contact SAMCO for more product information
Any questions? Contact us for more detail.
Contact SAMCO for more product information
Contact us for more detail.