Category: Silicon/Dielectrics Etch

20 Jun

Scientific paper on polycrystalline Si film from Colorado School of Mines

Samco Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch, Solar Cell

Pinhole electrical conductivity in polycrystalline Si on locally etched SiNy/SiOx passivating contacts for Si solar cells

C.L. Anderson a b, H.L. Guthrey b, W. Nemeth b, C.-S. Jiang b, M.R. Page b, P. Stradins a b, S. Agarwal a b

a
Department of Chemical and Biological Engineering, Colorado School of Mines, Golden, CO, 80401, USA
b
National Renewable Energy Laboratory, Golden, CO, 80401, USA

Materials Science in Semiconductor Processing, Volume 165, 2023, 107655,
ISSN 1369-8001,
https://doi.org/10.1016/j.mssp.2023.107655.

Boron-doped polycrystalline Si on locally etched silicon nitride/silicon oxide (PLENO) passivating contacts was studied for monocrystalline Si (c-Si) solar cell application. Samco open-load RIE system RIE-10NR was used for silicon etching.

15 May

Scientific paper on GaP grating fabrication from University of Ottawa

Samco 2022 Customer, Compound Semiconductor Etching, GaP Etch, Samco Customer Publication, Silicon/Dielectrics Etch, SiO2 Etch

Scalable Fabrication of Nanogratings on GaP for Efficient Diffraction of Near-Infrared Pulses and Enhanced Terahertz Generation by Optical Rectification

Mohammad Bashirpour1, Wei Cui1, Angela Gamouras1,2 and Jean-Michel Ménard1,2
1 Department of Physics, University of Ottawa, Ottawa, ON K1N 7N9, Canada
2 National Research Council Canada, Ottawa, ON K1A 0R6, Canada
Crystals 2022, 12, 684. https://doi.org/10.3390/cryst12050684

Samco RIE system RIE-10NR was used for SiO2 hardmask patterning using photoresist mask. Then, Samco ICP-RIE system was used for GaP etching using the SiO2 hardmask for wafer-scale fabrication of a surface phase grating with submicron feature sizes.

11 Apr

Scientific Paper on TiN on Si hybrid-plasmonic-photonic waveguide from Virginia Commonwealth University

Samco 2021 Customer, Other Materials Etch, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch, TiN Etch

A Platform for CMOS Compatible Plasmonics: High Plasmonic Quality Titanium Nitride Thin Films on Si (001) with an MgO Interlayer

Kai Ding 1, Dhruv Fomra 1, Alexander V. Kvit 2, Hadis Morkoç 1, Nathaniel Kinsey 1, Ümit Özgür 1 and Vitaliy Avrutin 1
1 Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia, 23284 USA
2 Materials Science Center, University of Wisconsin‐Madison, Madison, WI, 53706 USA
Advanced Photonics Research, 2000210 (2021)

A CMOS compatible hybrid-plasmonic-photonic waveguide was fabricated using TiN layer deposited by PEALD on Si (001) substrate with MgO interlayer. Samco ICP-RIE system RIE-101iPH was used for TiN/Au etching in chlorine chemistry and also Si etching in fluorine chemistry.

For more details of our ICP-RIE etch systems, please visit the product page below.
ICP-RIE Etch Systems

14 Oct

Introduction to Si-DRIE (Silicon Deep Reactive Ion Etching)

Samco 2020 NEWS, Si DRIE

Silicon is most well-known as a semiconductor material, but because of its high mechanical strength and ease of processing, it is also commonly used in a wide variety of devices such as MEMS, optical components, micro-channel devices, and packaging. There are many methods for processing silicon, such as dry etching, wet etching, and laser processing, each of which has advantages and disadvantages that should be evaluated carefully and compared.

The processing method called Si-DRIE is a type of plasma dry etching. The etching technology cultivated for semiconductors has improved the processing of mechanical devices and has been proven to allow faster and deeper etching of features with higher aspect ratios. Among Si-DRIE techniques, there are three main process types: the Bosch process, Non-Bosch processes, and Cryogenic etching. Since silicon and fluorine atoms react very easily, processing silicon using a simple fluorine chemistry process results in an isotropic profile. Therefore, the key for increasing the verticality and aspect ratio for any of the three process types is to suppress lateral etching and develop an anisotropic process.

Isotropic and Anisotropic Etching.jpg

The Bosch process is a technique which alternates between depositing a protective film on the sidewall using a C4F8 plasma and etching the bottom of the trench or hole with SF6. The Non-Bosch process is a technique of simultaneously depositing a protective layer on the sidewall and directionally etching the bottom of the feature with ions. Cryogenic etching is a method similar to the Non-Bosch process and suppresses the chemical reaction of Si and F atoms on the sidewall by lowering the substrate temperature to that of liquid nitrogen.

Samco Bosch Process vs Non Bosch Process.png
The Bosch process has excellent selectivity and is capable of high aspect ratio etching and is often used for MEMS and packaging. On the other hand, the Non-Bosch process has smooth sidewalls with positive taper angle, which is useful for through-silicon vias (TSV). Additionally, the angle of the mask can be transferred into the etched material, which is often done for optical parts such as lenses. The Cryogenic etching process can achieve the selectivity of an oxide mask vs silicon equivalent to that of the Non-Bosch process. One drawback of this technique is that photo resist masks cannot be used due to the extremely low temperature of the process.

On Samco’s flagship RIE-800iPB deep reactive ion etching (DRIE) system it is possible to utilize both the Bosch and Non-Bosch processes on the same system. The Bosch process enables deep and high aspect features along with extraordinary levels of selectivity to the mask. The Non-Bosch process provides deep etching with smooth sidewalls and a flexible range of taper angles. Substrate temperatures between -10°C and 20°C are controlled via liquid cooling of the bias electrode combined with electrostatic chucking (ESC) and substrate back side He gas cooling. By combining these two methods, the RIE-800iPB delivers versatile, efficient, gentle and affordable solutions for MEMS, TSV, packaging, and other applications. It’s been exciting to see the continual evolution of our Si DRIE solutions as we incorporate new customer requirements into the system design. Do you have a process challenge? Give our experienced process engineers the opportunity to meet your challenge and exceed your expectations.

What the Samco Si-DRIE Can Do?
Anisotropic Silicon Deep Reactive Ion Etching process using the Bosch Process and Non-Bosch Process enables trench, hole and pillar fabrication for various device applications. These are some examples of structures fabricated using the Bosch Process. For more details, please visit the processes below.


Samco Product Portfolio for Silicon Deep Reactive Ion Etching

Our systems have industry-leading process capabilities, and the product lineup covers both R&D and production.

23 Aug

Scientific paper on high-selectivity SiO2 etching by Fudan University

Samco 2018 Customer, Samco Customer Publication, Silicon/Dielectrics Etch, SiO2 Etch

Highly selective etch of silicon dioxide with tungsten hard mask deposited by PVD process

Yuanhui Fang, Jian Zhang, Yu-Long Jiang
School of Microelectronics, Fudan University, Shanghai 200433, China
2018 18th International Workshop on Junction Technology (IWJT), Shanghai, 2018, pp. 1-3.

Samco RIE Plasma Etching Equipment was used for tungsten etching and SiO2 etching with the tungsten hardmask.

Openload RIE System

21 Feb

Scientific paper on biochip fabrication by Tokyo Institute of Technology

Samco 2018 Customer, Microfluidics, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch

Celluloid Microenclosure and Microlens Array Fabricated by Suzukiʼs Universal Microprinting Method and XeF2 Vapor Etching for Microbial Analysis

Akihiro Matsutani1 and Ayako Takada2
1 Semiconductor and MEMS Processing Division, Technical Department, Tokyo Institute of Technology,
4259 Nagatsuta, Yokohama, Kanagawa 226-8503, Japan
2 Biomaterials Analysis Division, Technical Department, Tokyo Institute of Technology,
4259 Nagatsuta, Yokohama, Kanagawa 226-8501, Japan
Sensors and Materials, Vol. 30, No. 1 (2018) 149–155

A celluloid-based biochip for cell trapping is fabricated using Suzuki’s universal microprinting (SUMP) method. Samco tabletop Reactive Ion Etching (RIE) system was used for mold fabrication. Si layer was etched over photoresist and Cr mask in fluorine chemistry.

For more information on our RIE system lineup, please visit the product page below.
RIE Systems

Tabletop RIE System

20 Feb

Scientific paper on diffraction grating fabrication by Kyoto Institute of Technology

Samco 2018 Customer, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch

Direct fabrication of diffraction grating onto organic single crystals by electron beam lithography

Yoshihiro Kawata, Kazuki Aoki, Yuhi Inada*, Takeshi Yamao, and Shu Hotta
Faculty of Materials Science and Engineering, Kyoto Institute of Technology, Kyoto 606-8585, Japan
Japanese Journal of Applied Physics 57, 03EH11 (2018)

In this paper, direct fabrication of gratings was performed on HMDS-treated SiO2/Si substrates. Samco plasma etching system at Kyoto Institute of Technology was used for plasma etching of SiO2/Si substrates for grating fabrication over an organic semiconducting oligomer 5,5AA-bis(4-biphenylyl)-2,2A:5A,2AA-terthiophene (BP3T). The system was also used for estimate of BP3T etch resistivity.

28 Sep

Scientific paper on 10-nm T-shaped gate fabrication by Fudan University

Samco 2017 Customer, Samco Customer Publication, Silicon/Dielectrics Etch, SiNx Etch

Nanofabrication of 10-nm T-shaped gates using a double patterning process with electron beam lithography and dry etch

Jinhai Shao1, Jianan Deng1, W. Lu2 and Yifang Chen1
1Fudan University (China), 2Ohio State University (United States)
J. of Micro/Nanolithography, MEMS, and MOEMS, 16(3), 033508 (2017).

T-shaped gates with the footprint scaling down to 10 nm were fabricated using a double patterning procedure (electron beam lithography and dry etching). Samco Reactive Ion Etching Tool RIE-10NR was used for pattern transfer of metal nanoslit on SiNx layer in fluorine-based chemistry.

RIE-10NR

15 Aug

Scientific paper on PZT ultrasonic microsensors by Kyoto Institute of Technology

Samco 2017 Customer, Samco Customer Publication, Si DRIE, Silicon/Dielectrics Etch

Sensitivity of Piezoelectric Ultrasonic Microsensors with Sol-Gel Derived PZT Films Prepared through Various Pyrolysis Temperatures

Kaoru Yamashita, Shota Nakajima, Jo Shiomi and Minoru Noda
Graduate School of Science and Technology, Kyoto Institute of Technology, Kyoto 606-8585, Japan
2017 IEEE International Meeting for Future of Electron Devices, Kansai (IMFEDK), Kyoto, Japan, 2017, pp. 108-109.

In this paper, MEMS ultrasonic microsensors with sol-gel derived PZT piezoelectric diaphragm was fabricated. In device fabrication, Samco silicon Deep RIE etcher RIE-400iPB was used to form the thin diaphragm structure by silicon plasma etching from the wafer backside.
Stress control of PZT thin film was carried out to investigate high ultrasonic sensitivity of the devices.

Samco provides silicon deep RIE etching technologies utilizing the Bosch Process to R&D labs for MEMS device and TSV processing applications. For more information on our process technologies of deep silicon etching, please visit the process data page below.
Silicon Deep RIE Process Data

silicon deep rie

28 Jul

Scientific paper on silicon RIE etching by National University of Singapore

Samco 2017 Customer, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch

Low-power, low-pressure reactive-ion etching process for silicon etching with vertical and smooth walls for mechanobiology application

Mohammed Ashraf, Sree V. Sundararajan, Gianluca Grenci
National University of Singapore, Mechanobiology Institute, Singapore
J. Micro/Nanolith. MEMS MOEMS. 16(3), 034501 (Jul 10, 2017).
doi:10.1117/1.JMM.16.3.034501

Silicon plasma etching was carried out using RIE etcher RIE-10NR. Low-power etching process was newly developed in fluorine chemistry to fabricate vertical smooth sidewalls.

National University of Singapore is one of Samco’s proud customers. As seen in this paper, Samco RIE etcher RIE-10NR shows process versatility with excellent profile control for university lab users. The system can offer a wide range of process window for etching of various materials (silicon, SiO2, SiNx, metals and polymer).Samco RIE-10NR

For more details of our process capabilities of silicon etching, please visit the process data page below.
Silicon Plasma Etching