Category: NEWS Archive

11 Jul

Equipment Advances for the Bosch Process

Samco 2021 NEWS, 2021 NEWS, NEWS, NEWS Archive

In this article, we introduce the hardware employed to fully utilize the capabilities of the Bosch process for deep etching of silicon. The equipment used for the Bosch process has many significant features that differentiate it from typical ICP etching tools.

Inductively Coupled Plasma (ICP) Source
First, let’s take a look at the heart of the ICP equipment – the ICP coil that generates the plasma. The Bosch process must deliver both a high etch rate and a vertical profile that are critical for deep silicon etch processes. Increases in the fluorine radical density increases the etch rate. Also, attracting fewer ions, which are created by current in the plasma sheath, at a high voltage makes the profiles vertical. To achieve both high etch rates and vertical sidewalls, it seems that the voltage should be increased while maintaining ion density. However, RF power has the following equation:

RF power (W) = Voltage (V) × Current (I)

The amount of etching of the passivation film on the bottom of the etch feature is proportional to the bias RF power (W). If the voltage (V) is increased while decreasing the current (I), the passivation film will be over-etched and the sidewall will have a reverse taper profile (Fig. 1).

01_Profiles.png
Fig. 1 Profiles processed by the Bosch process for each condition.

ICP etching equipment for the Bosch process generally uses cylindrical shaped ICP coils. There are two reasons for using a cylindrical ICP coil.. First, it is more efficient in delivering RF power to the plasma. Second, it is easier to control the ion and radical densities of the plasma.

In the case of the cylindrical ICP coil, high-density fluorine radicals and high-density ions are produced near the coil. However, due to the long distance between the ICP coil and the substrate, the ions are neutralized during transport to the lower/substrate electrode. As a result, the ion density in the plasma is drastically reduced. On the other hand, the fluorine radicals in the SF6 plasma are still being transported to the lower electrode while maintaining high density due to their long lifetime.

The planar ICP coil that is used for ion enhanced etching such as oxide etching, must transport the high-density ions required for ion etching to the lower/substrate electrode without reducing their density. For this reason, the ICP coil and the lower/substrate electrode are placed closer together to prevent neutralization of the ions.

02_ICP_coils.png

Fig. 2 Comparison of cylindrical and planar ICP coils.

Radio Frequency (RF) Power

RF power is used to “heat” electrons and create ions and radicals in the plasma. The higher the frequency, the easier it is for the RF power to be converted into plasma as electron energy and to create high-density ions and radicals. On the other hand, with lower frequency, less power is converted into plasma and more power is used to create ions instead.

With the focus on generating high density plasma, the ICP power source should be at a higher frequency. However, at very high frequencies, it is difficult to keep a uniform plasma due to the effects of standing wave nodes and valleys. Therefore, 13.56 MHz is a good balance between the ability to generate high-density plasma and the capability to sustain a uniform plasma. Because of that, most equipment manufacturers use 13.56 MHz as the frequency of the ICP power source.

For substrate bias, lower frequency seems to be better in terms of the strength to attract the ions. However, the lower the frequency, the greater is the fluctuation of the ions incident on the substrate. Also, 13.56 MHz has an advantage over other frequencies in terms of cost and compactness. For these reasons, some equipment manufacturers select the 13.56 MHz as the frequency of the bias RF power source, while others select a lower frequency such as 400 kHz. In some cases, both frequencies may be combined or pulse superimposed.

Deposition/Etch Switching
To achieve fast Deposition/Etch process switching of the Bosch process, the gas must have a rapid switching speed. The response time of the MFC alone is not sufficient to achieve the 0.1 second Deposition/Etch process step switching commonly required for the Bosch process. Instead, fast gas switching is enabled by using a combination of an MFC and a high-switching speed valve. The gas line from the MFC to the ICP source should also be as short as possible. The increase in length of the gas line creates a damping effect that slows the response and tends to reduce the quality of the passivation films.

Next, the impedance switching control of the RF matching unit is important. During gas switching, the impedance of the plasma fluctuates greatly. If the matching unit cannot keep up with these fluctuations, the plasma is not sustainable. This means that the ideal Bosch process cannot be carried out if the RF matching unit cannot keep up with the fast gas switching. In addition, stringent control of the pressure in the reaction chamber is required to prevent pressure set point “hunting”.

Turbo-Molecular Pump (TMP)
A less important item in other ICP etchers, but an important one in Si-DRIE, is the pumping speed of the TMP. The purpose of using TMP’s with higher pump speeds in the Bosch process is to allow for higher flow rate of SF6 without increasing the process pressure. TMPs for the Bosch-process have a special rotor blade construction to prevent them from crashing due to collision with solid reaction products generated by the process.

Substrate Stage Temperature
Lower substrate stage temperatures generally result in a faster deposition rate of passivation film, which means shorter processing time. However, depending on the type and thickness of the photoresist, lower stage temperatures may cause cracking of the photoresist mask. The hardware and maintenance costs are also higher for lower stage temperatureoperations.

Download-your-free-PDF-article.jpg


What the Samco Si-DRIE Can Do?

Anisotropic Silicon Deep Reactive Ion Etching process using the Bosch Process and Non-Bosch Process enables trench, hole and pillar fabrication for various device applications. These are some examples of structures fabricated using the Bosch Process. For more details, please visit the processes below.


Samco Product Portfolio for Silicon Deep Reactive Ion Etching

Our systems have industry-leading process capabilities, and the product lineup covers both R&D and production.

11 Jul

Loading effect and microloading effect in Si deep RIE

Samco 2021 NEWS, 2021 NEWS, NEWS, NEWS Archive, Technical Report

The loading effect and the microloading effect are common phenomena observed not only in deep Si etching but also in conventional Reactive Ion Etching (RIE), and are a barrier to achieving a depth uniformity in etching processes. These two effects strongly appear in the Bosch process (silicon deep reactive ion etching), which is mainly composed of fluorine radical etching. The loading effect is caused by the difference in the consumption rate of fluorine radicals as the etchants. The microloading effect is caused by the difference in the numbers of fluorine radicals transported in narrow spaces (Ex. trenches and holes with a high aspect ratio). Samco processes suppress these two effects and can control the etching depth and achieve excellent uniformity. In addition, the method of microloading effect suppressing can be applied to flattened bottom surface process, which we call the “flat bottom process”.

■Loading Effect

The loading effect is a phenomenon in which the etch rate change depending on Si aperture (unmasked area) ratios. On the surface of Si, the fluorine radicals are consumed and the by-product, SiF4, is increased. Therefore, a larger aperture ratio of Si area has less fluorine radicals, and the etching rate becomes lower. A typical example is that bare Si wafers show slower etch rates at the center where the Si is exposed to a higher density than at the outer periphery.

Figure 1 shows the etching rate dependency on the aperture ratio of an ø8 inch Si wafer. The etch rate is 40 μm / min at an aperture ratio of 1% and goes to 15 μm / min when the aperture ratio is above 40%.

image001.png

Fig. 1: Aperture ratio dependency of Si trench etch rate (ø8 inch wafer)

The loading effect is most noticeable when the sample includes both sparse and dense mask patterns, as shown in Figure 2a. In this case, there are two ways of tackling the loading effect issue. The first is to reduce the process pressure and promote gas diffusion. Figure 2b shows the relationship between the process pressure and the difference in depth between Depth 1 (sparse mask pattern) and Depth 2 (dense mask pattern) in Figure 2a. You can see that at lower process pressure, there is a smaller difference in depth. However, by lowering the pressure, the etch rate is also decreased. The second method is to create a dummy pattern on the wafer to reduce the density difference of the mask pattern.

image003.jpg

(a) Example of sparse and dense mask pattern

image004.png

(b) Pressure vs etch depth differences due to pattern density
Fig. 2: Pressure vs etch depth differences due to pattern density

■Microloading Effect

The microloading effect is a phenomenon in which fewer fluorine radicals transport the bottom of narrow gaps, causing the etch rate to decrease depending on pattern width. This phenomenon is also known as the RIE lag effect or ARDE (aspect ratio dependent etching). Figure 3 is an SEM image of the microloading effect after Si deep reactive ion etching.

image006.png

Fig. 3: SEM image of the microloading effect

When a pattern has a wide opening (right side of Fig. 3), fluorine radicals transport the bottom of trenches and holes easily, and can be processed at a high Si etch rate. However, when the pattern opening narrows (left side of Fig. 3), the etch rate gradually decreases with the number of fluorine radicals that are able to transport the bottom of the trench or hole. On the other hand, when processing pillar structures, the pattern width has little effect on the etch rate because the flow of fluorine radicals is not obstructed. In the case of trench and hole etching, it is more difficult for fluorine radicals to transport the bottom of patterns with high aspect ratios. This tendency is especially noticeable when etching holes, because radicals are obstructed independently of the direction from which they enter. This is unlike trenches, where radicals are less restricted when moving along the trench line. The rounded bottom seen in Fig. 3 is also due to side walls blocking the flow of fluorine radicals, which increases the ratio of radicals etching the center of the pattern.

スクリーンショット (103)_LI.jpgFig. 4: SEM image of narrow and wide trench etch

Figure 4 shows SEM images of a narrow trench (10 μm) and a wide trench (200 μm) connecting. When a wide trench pattern intersects a narrow trench pattern, fluorine radicals are able to flow from the wider area, increasing the etch rate thus achieving a higher aspect ratio than would be the case for a pattern of only narrow trenches. However, due to the flow of fluorine radicals from the wider area, scallops will form diagonally instead of horizontally. Comb-shaped MEMS devices are another example of patterns with a mixture of narrow and wide trenches. For comb-shaped MEMS devices on SOI (Silicon on Insulator) wafers, even with relatively high aspect ratios, it is possible to etch through to the insulating layer.

■Method for Suppressing Microloading Effect

Figure 5 compares the normal Bosch process with Samco’s method for suppressing the microloading effect. The normal Bosch process etches all of the passivation film on the bottom of the trench. Then, in the Si etching step, the etch rate is higher at the bottom of the wider pattern, especially in the center where fluorine radicals are more easily transported. As a result, depth uniformity worsens.

image011.jpg

Fig. 5: Diagram of the Samco process to suppress the microloading effect

To suppress the microloading effect, some process conditions, such as the pressure during the deposition step, must be adjusted. In the etch step, the difference in the thickness of the deposited film and the difference in the etch rate are balanced and cancel each other out, achieving total depth uniformity. The microloading effect can then be suppressed by optimizing the duration of film deposition and etching for all pattern widths. Figure 6 shows the result of adjusting the process conditions to control the microloading effect.

image036.png

Fig. 6: SEM images of control of the microloading effect

■Flat-Bottom Process

The process for suppressing the microloading effect can also be used for deep Si etching with a wide pattern as shown in Figure 7. This process suppresses not only the microloading effect, but also scallops and round shape at the bottom of trench and hole patterns. It enables anisotropic etching of patterns with widths of several hundred micrometers. However, adjusting process conditions to optimize the depth uniformity of the flat-bottom process is very challenging. Samco has a wealth of knowledge and process libraries for the flat-bottom process and is able to provide our customers with the most suitable deep Si etching processes.

image042.png

Fig. 7: SEM image of the flattened bottom of the wide pattern

Download-your-free-PDF-article.jpg


What the Samco Si-DRIE Can Do?

Anisotropic Silicon Deep Reactive Ion Etching process using the Bosch Process and Non-Bosch Process enables trench, hole and pillar fabrication for various device applications. These are some examples of structures fabricated using the Bosch Process. For more details, please visit the processes below.


Samco Product Portfolio for Silicon Deep Reactive Ion Etching

Our systems have industry-leading process capabilities, and the product lineup covers both R&D and production.

10 Dec

SAMCO Unveils Deep Silicon Etching System for MEMS and TSV Production Processing

Samco 2013 NEWS, NEWS, NEWS Archive

SAMCO is proud to announce the release of our newest deep silicon etching system — the RIE-800iPBC — for MEMS and TSV production processing. The RIE-800iPBC is the latest in SAMCO’s etching system lineup, and was officially introduced by SAMCO at SEMICON Japan 2013 (the world’s largest exhibition for semiconductor equipment and materials), held in Tokyo from December 4-6, 2013.

READ MORE

24 Jul

SAMCO Stock Moves to the Tokyo Stock Exchange

Samco 2013 NEWS, NEWS, NEWS Archive

Tokyo, Japan July 24, 2013 – SAMCO Inc. (Located at 36 Waraya-cho, Takeda, Fushimi-ku, Kyoto – Second Section of the TSE: 6387) announced today that SAMCO’s stock listing was transferred from TSE JASDAQ (Standard) to the Second Section of the Tokyo Stock Exchange market (TSE). SAMCO is the first transfer of its listing since the consolidation of the Tokyo Stock Exchange (TSE) and the Osaka Securities Exchange (OSE) on July 16, 2013.

READ MORE

29 Mar

SAMCO PD-2203L for High-Efficiency Silicon Solar Cell Research

Samco 2013 NEWS, NEWS, NEWS Archive

In accordance with the “Basic Guidelines for Reconstruction in Response to the Great East Japan Earthquake” (determined on July 29, 2011) and the “Basic Policy for Recovery and Reconstruction of Fukushima” (passed on July 13, 2012), the FUTURE-PV (Fukushima Top-Level United Center for Renewable Energy Research-Photo Voltaic Innovation) Research Facility has been established in Fukushima Prefecture as a 5-year project from 2012 to 2016. The research at this facility will be supervised by Professor Makoto Konagai of the Department of Physical Electronics, Graduate School of Science and Engineering, Tokyo Institute of Technology.

READ MORE