Author: Samco

12 Jan

Scientific paper on Ga2O3 etching from University of Tsukuba

Samco 2019 Customer, Compound Semiconductor Etching, Ga2O3 Etch, Samco Customer Publication

Dry and wet etching for β-Ga2O3 Schottky barrier diodes with mesa termination

Hironori Okumura1 and Taketoshi Tanaka2
1 Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba 305-8573, Japan
2 Rohm Co. Ltd., Kyoto 615-8585, Japan
Japanese Journal of Applied Physics, 58, 120902 (2019)

Ga2O3 is a compound semiconductor material with a large band-gap energy. Research on this material is still early stage, but more researchers are getting interested in unique material properties.  Dry etching and wet etching of β-Ga2O3 was studied in this paper. Samco ICP-RIE system RIE-400iP was used for mesa structure fabrication in ICP etching of chlorine chemistry.

Profile control of compound semiconductor materials is one of our strong points in material processing. We have accumulated process knowledge on GaN, GaAs, InP, Ga2O3, etc.
If you would like to see some process data, please visit the following webpage.
Process Data by Materials

30 Aug

Scientific paper on cell microarray chip by AIST

Samco 2018 Customer, Samco Customer Publication, Surface Treatment

Pseudo-Infected Red Blood Cell Beads as Positive Control for Cell Microarray Chip–Based Detection of Plasmodium-Infected RBCs

Muneaki Hashimoto 1, Masahiko Numata 2, Shouki Yatsushiro 1, Yusuke Ido 1, Masato Tanaka 1, Kazuaki Kajimoto 1, and Masatoshi Kataoka 1

1 Health Research Institute, National Institute of Advanced Industrial Science and Technology (AIST), 2217-14, Hayashi-cho, Takamatsu, Kagawa 761-0301, Japan.

2 National Metrology Institute of Japan (NMIJ), National Institute of Advanced Industrial Science and Technology (AIST), 1-1-1, Umezono, Tsukuba, Ibaraki 305-8563, Japan.

A cell microarray chip was fabricated to detect detect red blood cells (RBCs) infected with the causative agent of malaria, Plasmodium. In this research, Samco RIE system was used for hydrophilic surface treatment of the microarray devices.

Samco plasma equipment is widely used not only for conventional semiconductor device research but also for biomedical research field. Plasma treatment technology is useful for wettability improvement of surfaces for cell adhesion and stable liquid flow inside fine micro-channels. Aqua Plasma®, unique plasma treatment technology is beneficial for super-hydrophilic surfaces and direct bonding of polymer substrates such as COP (cyclo-olefin polymer) and COC (cyclo-olefin polymer). Please check process solution page below for more details.

Plasma Treatment & Bonding for Polymer Microfluidics

23 Aug

Scientific paper on high-selectivity SiO2 etching by Fudan University

Samco 2018 Customer, Samco Customer Publication, Silicon/Dielectrics Etch, SiO2 Etch

Highly selective etch of silicon dioxide with tungsten hard mask deposited by PVD process

Yuanhui Fang, Jian Zhang, Yu-Long Jiang
School of Microelectronics, Fudan University, Shanghai 200433, China
2018 18th International Workshop on Junction Technology (IWJT), Shanghai, 2018, pp. 1-3.

Samco RIE Plasma Etching Equipment was used for tungsten etching and SiO2 etching with the tungsten hardmask.

Openload RIE System

22 Aug

Scientific paper on LiNbO3 resonator from National Tsing Hua University

Samco 2018 Customer, LiNbO3 Etch, Other Materials Etch, Samco Customer Publication

A VHF temperature compensated lithium niobate-on-oxide resonator with Q > 3900 for low phase noise oscillators

Grace W. Fanga, Gayathri Pillaib, Ming-Huang Lic, Chun-You Liua, Sheng-Shian Lia
a Department of Power Mechanical Engineering, National Tsing Hua University, Hsinchu, Taiwan
b Institute of NanoEngineering and MicroSystems, National Tsing Hua University, Hsinchu, Taiwan
c MNTL, University of Illinois at Urbana Champaign, Urbana, IL, USA

2018 IEEE Micro Electro Mechanical Systems (MEMS), Belfast, 2018, pp. 723-726.

LiNbO3-based resonator with high Q over 3900 was fabricated in this research. Samco Reactive Ion Etching Tool was used for LiNbO3 etching with SiO2 in chlorine-based chemistry.

Samco is capable of high-quality plasma etching processes of various materials. Please see our process data page for more details.
Plasma Etching & PECVD Process Data

20 Mar

Visit Samco Booth at CS International, Brussels

Samco 2018 Events, Events

Date : April 10 – 11, 2018
Location : Sheraton Brussels Airport Hotel, Brussels, Belgium

CS International is one of the largest conference on compound semiconductor device and process technologies.
As a process innovator of compound semiconductor materials such as InP, GaAs and GaN,
Samco joins the conference and holds an exhibition booth.

In this year, our target process technologies are
1. InP ridge profile etching for laser diode fabrication
2. GaAs mesa profile etching for VCSEL fabrication
3. GaN etching for laser diode and power device fabrication

We would be happy to discuss more details to solve your process challenges in device fabrication.

21 Feb

Visit Samco Booth at SEMICON China 2018

Samco 2018 Events, Events

When: March 14 – 16, 2018

Where: Shanghai New International Expo Centre, China

Booth: 2511

Visit Samco booth at SEMICON China 2018.

This year we will focus on these topics with new booth design.
· Compound semiconductor etching such as InP, GaAs and GaN
· Silicon Deep RIE for MEMS device fabrication
· SiO2/SiNx PECVD
· AlOx/SiO2 ALD
· Depassivation for failure analysis

If you’re interested in meeting with us, contact us to make an appointment.

SEMICON China 2018

21 Feb

Scientific paper on biochip fabrication by Tokyo Institute of Technology

Samco 2018 Customer, Microfluidics, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch

Celluloid Microenclosure and Microlens Array Fabricated by Suzukiʼs Universal Microprinting Method and XeF2 Vapor Etching for Microbial Analysis

Akihiro Matsutani1 and Ayako Takada2
1 Semiconductor and MEMS Processing Division, Technical Department, Tokyo Institute of Technology,
4259 Nagatsuta, Yokohama, Kanagawa 226-8503, Japan
2 Biomaterials Analysis Division, Technical Department, Tokyo Institute of Technology,
4259 Nagatsuta, Yokohama, Kanagawa 226-8501, Japan
Sensors and Materials, Vol. 30, No. 1 (2018) 149–155

A celluloid-based biochip for cell trapping is fabricated using Suzuki’s universal microprinting (SUMP) method. Samco tabletop Reactive Ion Etching (RIE) system was used for mold fabrication. Si layer was etched over photoresist and Cr mask in fluorine chemistry.

For more information on our RIE system lineup, please visit the product page below.
RIE Systems

Tabletop RIE System

20 Feb

Scientific paper on diffraction grating fabrication by Kyoto Institute of Technology

Samco 2018 Customer, Samco Customer Publication, Si Etch, Silicon/Dielectrics Etch

Direct fabrication of diffraction grating onto organic single crystals by electron beam lithography

Yoshihiro Kawata, Kazuki Aoki, Yuhi Inada*, Takeshi Yamao, and Shu Hotta
Faculty of Materials Science and Engineering, Kyoto Institute of Technology, Kyoto 606-8585, Japan
Japanese Journal of Applied Physics 57, 03EH11 (2018)

In this paper, direct fabrication of gratings was performed on HMDS-treated SiO2/Si substrates. Samco plasma etching system at Kyoto Institute of Technology was used for plasma etching of SiO2/Si substrates for grating fabrication over an organic semiconducting oligomer 5,5AA-bis(4-biphenylyl)-2,2A:5A,2AA-terthiophene (BP3T). The system was also used for estimate of BP3T etch resistivity.

26 Oct

Visit us at SEMICON Europa 2017

Samco 2017 Events, Events

When: November 14 – 17, 2017

Where: Messe München Munich, Germany

Booth: B1-412

Visit Samco at SEMICON Europa 2017, which will be held at Messe München Munich, Germany.

This year we will focus on these topics with new booth design.
· SiC/GaN plasma etching technology for next-generation power devices
· III-V (InP/GaAs/GaN) and silicon plasma etching technology for laser diodes
· Silicon Deep RIE technology for MEMS device fabrication

If you’re interested in meeting with us, contact us to make an appointment.

semicon europa 2017 booth design

28 Sep

Scientific paper on 10-nm T-shaped gate fabrication by Fudan University

Samco 2017 Customer, Samco Customer Publication, Silicon/Dielectrics Etch, SiNx Etch

Nanofabrication of 10-nm T-shaped gates using a double patterning process with electron beam lithography and dry etch

Jinhai Shao1, Jianan Deng1, W. Lu2 and Yifang Chen1
1Fudan University (China), 2Ohio State University (United States)
J. of Micro/Nanolithography, MEMS, and MOEMS, 16(3), 033508 (2017).

T-shaped gates with the footprint scaling down to 10 nm were fabricated using a double patterning procedure (electron beam lithography and dry etching). Samco Reactive Ion Etching Tool RIE-10NR was used for pattern transfer of metal nanoslit on SiNx layer in fluorine-based chemistry.

RIE-10NR