Category: Samco Customer Publication

25 Sep

Scientific Paper on Silicon Nanowire Fabrication Using the Bosch Process by Kyoto University

Samco 2016 Customer, Samco Customer Publication, Si DRIE, Silicon/Dielectrics Etch

Tensile fracture of integrated single-crystal silicon nanowire using MEMS electrostatic testing device

Toshiyuki Tsuchiya , Tetsuya Hemmi, Jun-ya Suzuki, Yoshikazu Hirai, Osamu Tabata
Department of Micro Engineerng, Kyoto University, Kyotodaigaku-Katsura C3, Nishikyo-ku, Kyoto 615-8540, Japan
Procedia Structural Integrity (2016) 2 Pages 1405–1412

Samco silicon Deep RIE system at Kyoto University was used for silicon nanowire fabrication by combination of two types of silicon etch processes (the Bosch Process) with coarse and fine scallops. Using the unique silicon plasma etching processes, silicon nanowire structures were successfully fabricated on a SOI wafer.Silicon Periodic Table

Kyoto University is one of Samco Deep RIE system customers for MEMS device research.
For more details of our silicon Deep RIE process technologies, please visit the process data pages below.
Silicon Deep RIE for MEMS & TSV Applications
Deep Silicon Etching Using the Bosch Process – Trench, Via Hole & Pillar Etching

Also, For more information of our silicon Deep RIE systems, please visit the product page below.
Silicon Deep RIE Systems

06 Sep

Scientific Paper on Plasmonic Array with Mesoporous Silica Layer Fabrication by Kyoto University

Samco 2016 Customer, Photonic Devices, Si DRIE, Silicon/Dielectrics Etch

Mesoporous silica layer on plasmonic array: light trapping in a layer with a variable index of refraction

Shunsuke Murai1, 2, Hiroyuki Sakamoto1, Koji Fujita1, and Katsuhisa Tanaka1
1 Department of Material Chemistry, Graduate School of Engineering, Kyoto University, Katsura, Nishikyo-ku, Kyoto, 615-8510, Japan
2 PRESTO, Japan Science and Technology Agency (JST), Kawaguchi, Saitama 332-0012, Japan
Optical Materials Express Vol. 6, Issue 9, pp. 2736-2744 (2016) doi: 10.1364/OME.6.002736

Plasmonic array was fabricated using nanoimprint technology. First, silicon mold consisting of a periodic square array was fabricated using silicon deep etching. Samco silicon DRIE system at Kyoto University was used for the mold fabrication. Then, Samco ICP etch system was used for pattern transfer by aluminum dry etching over photoresist pattern fabricated by the nanoimprint process.

06 Sep

Scientific Paper on Wettability Control in Porous Media Using UV-Ozone Treatment by MIT

Samco 2016 Customer, Microfluidics, Samco Customer Publication, Surface Treatment, UV-Ozone

Wettability control on multiphase flow in patterned microfluidics

Benzhong Zhaoa, Christopher W. MacMinnb, and Ruben Juanesa
a Department of Civil and Environmental Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139;
b Department of Engineering Science, University of Oxford, Oxford OX1 3PJ, United Kingdom
PNAS (2016) DOI10.1073/pnas.1603387113

Fluid–fluid displacement in porous media of microfluidic flow cell was studied for potential CO2 sequestration application to solve global warming caused by the greenhouse gas.
Samco tabletop UV-ozone cleaner at MIT was used for wettability improvement of photocurable polymer NOA81 to fabricate microfluidic flow cells.

This article was featured in MIT News.
View the news article.

05 Sep

Scientific Paper on InGaN/GaN LED Using InGaN Plasma Etching by National Cheng Kung University, Taiwan

Samco 2016 Customer, Compound Semiconductor Etching, InGaN Etch, LEDs

Piezoelectric effect on compensation of the quantum-confined Stark effect in InGaN/GaN multiple quantum wells based green light-emitting diodes

Sheng-Chieh Tsaia, b, Cheng-Hsueh Lub and Chuan-Pu Liua
a Department of Materials Science and Engineering, National Cheng Kung University, Tainan 70101, Taiwan
b Research Center, Genesis Photonics Incorporation, Tainan 74144, Taiwan
Nano Energy (2016)

ingan plasma etching

Samco ICP etch system was used for InGaN plasma etching in quantum well structure fabrication.

Samco has plasma etching process knowledge of III-V compound semiconductor materials including GaN, GaAs, InP and more. Please visit our process data page for more details of our process capabilities.

Process Data by Materials

15 Aug

Scientific Paper on Nanopore DNA Sequencing Technique Development

Samco 2016 Customer, Microfluidics, Plasma Treatment, Samco Customer Publication, Surface Treatment

Integrated solid-state nanopore platform for nanopore fabrication via dielectric breakdown, DNAspeed deceleration and noise reduction

Yusuke Goto, Itaru Yanagi, Kazuma Matsui, Takahide Yokoi & Ken-ichi Takeda
Center for Technology Innovation – Healthcare, Research & Development Group, Hitachi Ltd.,1-280 Higashi-Koigakubo, Kokubunji, Tokyo 185-8601, Japan.
Scientific Reports 6, Article number: 31324 (2016) doi:10.1038/srep31324

Samco plasma cleaner was used for surface cleaning and wettability modulation of substrates with Si3N4 membranes in sample preparation.

10 Aug

Scientific Paper on GaAs-based Phase-modulating Lasers

Samco 2016 Customer, Compound Semiconductor Etching, GaAs Etch, Photonic Devices, Samco Customer Publication

Phase-modulating lasers toward on-chip integration

Yoshitaka Kurosaka, Kazuyoshi Hirose, Takahiro Sugiyama, Yu Takiguchi & Yoshiro Nomoto
Central Research Laboratory, Hamamatsu Photonics K.K., Shizuoka 434-8601, Japan.
Scientific Reports 6, Article number: 30138 (2016)
doi:10.1038/srep30138

Samco Load-lock ICP-RIE System was used for GaAs-based hole array fabrication in dry etching for phase-modulating laser fabrication.

gaas plasma etching

For our process examples and capabilities of GaAs plasma etching, please visit the process data page below.
GaAs Plasma Etching Process (ICP-RIE)

06 Aug

Scientific Paper on Fused Silica Nanofluidic Device Fabrication by National Taiwan University Team

Samco 2016 Customer, Microfluidics, Silicon/Dielectrics Etch, SiO2 Etch

Multiplexed immunosensing and kinetics monitoring in nanofluidic devices with highly enhanced target capture efficiency

Yii-Lih Lin1,2,3, Yen-Jun Huang3,4, Pattamon Teerapanich5,6, Thierry Leïchlé5,6 and Chia-Fu Chou2,3
1 Department of Chemistry, National Taiwan University, Taipei, Taiwan
2 Nano Science and Technology Program, Taiwan International Graduate Program, Academia Sinica and National Taiwan University, Taipei, Taiwan
3 Institute of Physics, Academia Sinica, Taipei, Taiwan
4 Department of Physics, National Taiwan University, Taipei, Taiwan
5 LAAS-CNRS, 7 Avenue du Colonel Roche, F-31077 Toulouse, France
6 Université de Toulouse, F-31077 Toulouse, France
Biomicrofluidics 10, 034114 (2016)

Samco ICP etch system, RIE-10iP was used for nano-slit pattern fabrication by fused quartz plasma etching.

For our process capabilities of SiO2 and quartz plasma etching, please visit the process data page below.
SiO2 Dry Etching Process (RIE or ICP-RIE)

18 Jul

Scientific Paper on Atomically Ordered Silicon Side-surface Structures From Osaka University Team

Samco 2016 Customer, Samco Customer Publication, Si DRIE, Silicon/Dielectrics Etch

Methods of creating and observing atomically reconstructed vertical Si{100}, {110}, and {111} side-surfaces

Azusa N. Hattori1,2, Shohei Takemoto3, Ken Hattori3, Hiroshi Daimon1 and Hidekazu Tanaka1
1 Nanoscience and Nanotechnology Center, The Institute of Scientific and Industrial Research, Osaka University, Ibaraki, Osaka 567-0047, Japan
2 JST-PRESTO, Kawaguchi, Saitama 332-0012, Japan
3 Graduate School of Materials Science, Nara Institute of Science and Technology, Ikoma, Nara 630-0101, Japan
Appl. Phys. Express (2016) 9 085501

Samco silicon Deep Reactive Ion Etch (DRIE) system at Osaka University was used for silicon nano-scale structure fabrication.

For our process examples and capabilities of deep silicon etching using Bosch Process, please visit the pages below.
Deep Silicon Trench/Via Hole Etching using Bosch Process
Si DRIE (Deep Reactive Ion Etching) for MEMS and TSV

22 May

Scientific Paper on Nanostructure Fabrication Using a Carbon Nanotube Template From Korea University

Samco 2016 Customer, CNT Etch, Other Materials Etch, Samco Customer Publication

Sub-5 nm nanostructures fabricated by atomic layer deposition using a carbon nanotube template

Ju Yeon Woo1, Hyo Han1, Ji Weon Kim1, Seung-Mo Lee2, Jeong Sook Ha3, Joon Hyung Shim1 and Chang-Soo Han1
1 School of Mechanical Engineering, Korea University Anam-Dong, Seongbuk-Gu, Seoul 136-713, Korea
2 Department of Nanomechanics, Nano-Convergence Mechanical Systems Research Division, Korea Institute of Machinery & Materials (KIMM), 156 Gajungbuk-ro, Yuseong-gu, Daejeon 305-343, Korea
3 Department of Chemical & Biological Engineering, Korea University Anam-Dong, Seongbuk-Gu, Seoul 136-713, Korea
Nanotechnology (2016) 27 265301 (7pp)

Samco RIE system was used for removal of single-walled carbon nanotubes (CNT) in an oxygen plasma.

15 May

Scientific Paper on GaAs Plasma Etching over Tripodal Paraffinic Triptycene Mask from Tokyo Institute of Technology

Samco 2016 Customer, Compound Semiconductor Etching, GaAs Etch, Samco Customer Publication

Chlorine-based inductively coupled plasma etching of GaAs wafer using tripodal paraffinic triptycene as an etching resist mask

Akihiro Matsutani1, Fumitaka Ishiwari2, Yoshiaki Shoji2, Takashi Kajitani2, Takuya Uehara3, Masaru Nakagawa3, and Takanori Fukushima2
1 Division of Microprocessing Technology Platform, Technical Department, Tokyo Institute of Technology, Yokohama 226-8503, Japan
2 Laboratory for Chemistry and Life Science, Institute of Innovative Research, Tokyo Institute of Technology, Yokohama 226-8503, Japan
3 IMRAM, Tohoku University, Sendai 980-8577, Japan
Japanese Journal of Applied Physics (2016) Volume 55, Number 6S1

Samco ICP etch system was used for GaAs plasma etching over tripodal paraffinic triptycene (TripC12) mask.
Based on chlorine gas plasma chemistry, vertical and smooth GaAs profile was achieved.

For our process capabilities of GaAs plasma etching, please visit the process data page below.
GaAs Dry Etching Process (ICP-RIE)